Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20704 Discussions

Simulator error

Altera_Forum
Honored Contributor II
8,267 Views

If anyone could help me resolve this issue it would be greatly appreciated. 

 

 

**** Running the ModelSim simulation **** 

 

C:/altera/13.1/modelsim_ase/win32aloem//vsim -c -do 64bit_counter.do 

 

Reading C:/altera/13.1/modelsim_ase/tcl/vsim/pref.tcl  

 

 

 

# 10.1d 

 

# do 64bit_counter.do  

 

# ** Warning: (vlib-34) Library already exists at "work".# # Model Technology ModelSim ALTERA vlog 10.1d Compiler 2012.11 Nov 2 2012# ** Error: (vlog-42) Unsupported ModelSim library format for "C:/Users/PG/Desktop/64bit_counter/simulation/qsim/gate_work". (Format: 4) 

#  

# ** Error: Verilog Compiler exiting 

# ** Error: C:/altera/13.1/modelsim_ase/win32aloem/vlog failed. 

# Executing ONERROR command at macro ./64bit_counter.do line 3 

 

 

Error.
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
5,230 Views

I suspect you're not quitting out of your sim before you re-run it - if 'work' already exists. Do you issue a 'quit -sim' command anywhere before running, or re-running, your script? 

 

Cheers, 

Alex
Altera_Forum
Honored Contributor II
5,230 Views

Found the error actually. Altera did not like the leading number in the project name.

0 Kudos
Reply