Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20641 Discussions

Wait statement error!!!

Altera_Forum
Honored Contributor II
1,005 Views

when i am using the following code 

 

clk_process: process 

begin 

clk<='0' 

wait for 10 ns;---ERROR COMING HERE 

clk<='1' 

wait for 10ns; 

 

end process 

 

ERROR IS : WAIT SHOULD COME WITH UNTIL CLAUSE......
0 Kudos
6 Replies
Altera_Forum
Honored Contributor II
305 Views

One question: are trying to synthetize this code?

0 Kudos
Altera_Forum
Honored Contributor II
305 Views

nope for test bench...

0 Kudos
Altera_Forum
Honored Contributor II
305 Views

So, try to do this, 

clk_process: process 

begin 

clk<=NOT clk; 

wait for 10ns; 

end process; 

I think this is the better way to generate a clock signal for test bench. 

Good luck!!
0 Kudos
Altera_Forum
Honored Contributor II
305 Views

You forgot semi colons in your code. 

 

How about posting the real code, and the real errors next time. 

 

And Shikata: your code would not work if you forgot to initialise clk - the OP's code will.
0 Kudos
Altera_Forum
Honored Contributor II
305 Views

Thanks for the tip.

0 Kudos
Altera_Forum
Honored Contributor II
305 Views

Thaks for the tip!!

0 Kudos
Reply