Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20637 Discussions

all bins on tristate

Altera_Forum
Honored Contributor II
935 Views

Hello, 

Im working on a project with MAXV  

i got the pcb and soldered the cpld and it accept programming  

but all the pins give different voltages 0.9 1.7 2 v  

the Quartus 2 give successful build for they synthesis and the fitter  

but the bins should output ground not floated with random voltage  

is there any option  

or something i miss  

thankyou
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
226 Views

i tested the io banks , 1 bank works well when assign it to vcc or to gnd 

the other io bank when assigned to vcc works 

but when assigned gnd it floats
0 Kudos
Altera_Forum
Honored Contributor II
226 Views

What is the package you are using? You mention it was hand soldered. Is this on a known-working PCB design, or is it a new one? 

 

The behavior you indicate would seem to be related to assembly and/or design, and not necessarily an FPGA programming issue per se.
0 Kudos
Altera_Forum
Honored Contributor II
226 Views

its my pcb design 

after testing it should be a unconnected bank ground pins so when the input circuitry connect it to ground its float  

but i test all ground pins and all of them are connected well , dont know why, but i will do more testing  

im using 5m240zt100c5
0 Kudos
Reply