Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20686 Discussions

how to constrain i2c interface

PHuan32
Beginner
783 Views

I use the i2c slave ip by platform designer and connect the inout port correctly. But I don't know how to constrain the input_delay and output_delay of i2c_SDA and i2c_SCL.

0 Kudos
3 Replies
KhaiChein_Y_Intel
358 Views
0 Kudos
PHuan32
Beginner
358 Views

Hi YY,

Thanks!

Because i2c signal is very slow, it seems that I can just set the i2c_SDA and i2c_SCL to false path.

BR

0 Kudos
KhaiChein_Y_Intel
358 Views

Hi PHuan32,

 

Hope the example helps.

 

Thanks.

0 Kudos
Reply