Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20954 Discussions

i do'nt want to remove redundant logic...

Altera_Forum
Honored Contributor II
1,466 Views

i want to implement ring oscillator with NOT gates but when i synthesize it, the synthesis tool remove the redundant logic, but my requirement is to keep redundant logic.. so plz someone help me to synthesize the circuit without removing redundant logic.. (i use Xilinx ISE 9.1i)

0 Kudos
5 Replies
Altera_Forum
Honored Contributor II
773 Views

This is an Altera Forum. Try the Xilinx forum. 

 

But seriously - if its redundant, it has no use at all, and does nothing. what is the purpose of the redundant logic if it does nothing? Did you connect it to an output pin?
0 Kudos
Altera_Forum
Honored Contributor II
773 Views

The question actually makes sense for creating logic cell delays, Altera has synthesis attributes for this purpose. Possibly Xilinx has similar means.

0 Kudos
Altera_Forum
Honored Contributor II
773 Views

you r right ..... i m using it for delay...... thank u.... ok , i'll try xilinx forum.. thanks

0 Kudos
Altera_Forum
Honored Contributor II
773 Views

 

--- Quote Start ---  

you r right ..... i m using it for delay...... thank u.... ok , i'll try xilinx forum.. thanks 

--- Quote End ---  

Please note that using logic cells for delays is not reliable, whatever technology you use.  

 

edit - But its probably useful for an oscilator! :rolleyes:
0 Kudos
Altera_Forum
Honored Contributor II
773 Views

yes, xilinx forums rock for this soft of thing.

0 Kudos
Reply