Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
Announcements
FPGA community forums and blogs on community.intel.com are migrating to the new Altera Community and are read-only. For urgent support needs during this transition, please visit the FPGA Design Resources page or contact an Altera Authorized Distributor.
21615 Discussions

write data with IOWR();

Altera_Forum
Honored Contributor II
1,159 Views

here is my components slave interface : 

 

port ( clk : in std_logic; 

resetn : in std_logic; 

read : in std_logic; 

write : in std_logic; 

chipselect : in std_logic; 

writedata : in std_logic_vector(15 downto 0); 

byteenable : in std_logic_vector(1 downto 0); 

address : in std_logic_vector(1 downto 0); 

readdata : out std_logic_vector(15 downto 0); 

SIG_EXT : out std_logic_vector(1 downto 0) 

); 

 

How do I write some data to the ""writedata"" pin ??? 

 

should i write : IOWR( BASE , 0x0 , DATA);
0 Kudos
0 Replies
Reply