Application Acceleration With FPGAs
Programmable Acceleration Cards (PACs), DCP, FPGA AI Suite, Software Stack, and Reference Designs
480 Discussions

How to Reconfigure and make changes in Quartus for a built and compiled OFS FIM.

Beginner_in_FPGA
New Contributor I
77 Views

Hello,

I have built and compiled the N6001 design from otchsare beta 3.2 SKU2 version using Quartus 23.1. 


It seems that within the FIM developer documents the steps to reconfigure and change the design are given all via the terminal and using Quartus commands. 
I was wondering if I can do design changes and other stuff within Quartus and give compilation from within quartus after building the design once. So when I tried compiling the same design without any changes from within Quartus, there were multiple tcl files which were throwing errors. 
--------------------------------------------------------------------------------------------------

Tcl error: can't find package options
    while executing
"package require options"
    (file "ofs_partial_reconfig/user_clock_freqs_compute.tcl" line 24)
    invoked from within
"source ofs_partial_reconfig/user_clock_freqs_compute.tcl"
    (file "ofs_partial_reconfig/ofs_sta_report_script_pr.tcl" line 12)
    invoked from within
"source ofs_partial_reconfig/ofs_sta_report_script_pr.tcl"
    ("uplevel" body line 1)
    invoked from within
"uplevel source $script"
    invoked from within
"if [file exists $script] {        
set start_time [clock clicks -millisec]
uplevel source $script
set end_time [clock clicks -millisec]..."
    ("foreach" body line 2)
    invoked from within
"foreach script $options(report_script) {
if [file exists $script] {        
set start_time [clock clicks -millisec]
uplevel source $scri..."
    invoked from within
"if [is_project_open] {
# The all_corners option can be set by INI as well.
set all_corners_ini [get_ini_var -name "qsta_all_corners"]
if {[stri..."
    (procedure "main" line 140)
    invoked from within
"main"
    (file "t:/intelfpga_pro/23.1/quartus/common/tcl/internal/qsta_default_script.tcl" line 1610)
-------------------------------------------------------------------------------------------------------
 
 
So I went through the document again and found out about Relocatable PR Directory. And I thought this is used to create a project which can be used to compile within quartus. So I tried running this and got it to work successfully, but I think I must've misunderstood what this means since it doesn't generate a Complete Quartus project file with every file present within the Quartus Project, but generates some files and binaries. What exactly is this feature used for?
 
 
Is there any way after building the Quartus project in the Linux environment, after which I can copy the project file and then continue designing in a Windows environment without having to run scripts and just use Quartus to do everything?
0 Kudos
0 Replies
Reply