FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP

Avalon read\write

Altera_Forum
Honored Contributor II
972 Views

Hi, 

 

I am supposed to make my own custom component which will do 256-bit and operation. I want to write a VHDL code which will read data in parts as Nios II is 32-bit.  

Kindly suggest how to read 256 bit data using VHDL. 

 

Pingoo
0 Kudos
4 Replies
Altera_Forum
Honored Contributor II
265 Views

I would create an Avalon with a 32 bit interface and 3 address bits. 

Using the 3 address bits, you can select the correct part of your 256 bit vector.
0 Kudos
Altera_Forum
Honored Contributor II
265 Views

Thanks for the reply. 

 

I am new to VHDL so didn't get your point. Can you please elaborate? 

 

Pingoo
0 Kudos
Altera_Forum
Honored Contributor II
265 Views

Ah! 

Sorry, but teaching VHDL is a bit outside the scope of my contribution to this forum. 

 

I suggest that you start by learning a bit of VHDL first, then take a look at the Avalon-MM documentation, then revisit your project.
0 Kudos
Altera_Forum
Honored Contributor II
265 Views

Hi, 

 

I am working on the similar problem but I am using 128-bits. while generating my sopc file, I am getting following error 

 

Warning: 32-bit master connected to 128-bit slave with no byte enables (cpu/data_master -> mycomp_add/slave) at c:/altera/91/quartus//sopc_builder/bin/europa/europa_utils.pm line 248. 

 

Can you suggest the solution. 

 

Thanks, 

Mumble.
0 Kudos
Reply