FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6359 Discussions

Can I set a weak pull up resistor on an output port ?

Knug
Beginner
617 Views

Hi,

The PFL we are using has a pull-up resistor 10K on the fpga_nconfig line (output from PFL within CPLD MAXV & input to the FPGA) but currently have issues of the fpga-nconfig line driven low somehow (cannot figure out).

I've seen on the internet comments from people saying the a weak pull up can be set only on input or bidirectional ports. Is this the case ?

Because when I set this manually within the .qsf for the output port fpga_nconfig :

         set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to fpga_nconfig

 

& later looked at the Assignment Editor, noticed that it accepted it as a weak pull up. But it replaced the:

  • 'Assignment Name' from : Location ->  Weak Pull-Up resistor
  • 'Value' from: PIN_E5 -> On

Did not attempt to run this yet.  

Where is eg the PIN_E5 pin location assignment gone for the fpga_nconfig pin? It's been now replaced by a Weak Pull-Up resistor and removed the PIN_E5 location assignment.

Please comment here !

 

Regards,

Kevin 

0 Kudos
6 Replies
Knug
Beginner
601 Views

Replying to myself here. Resolved part of my query..

Ok, within the Assignment editor can also see further down the list the location assignment PIN_E5 for fpga_nconfig.  So I could see that the 2 following location assignments I defined within my .qsf were both listed within the Assignmenr Editor window  

set_location_assignment PIN_E5 -to fpga_nconfig

set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to fpga_nconfig

 

Q/  BUT is is ok to set a WEAK_PULL_UP_RESISTOR on an output port ?

If this is not ok, I must go and set this up from the FPGA side which is declared as an input port.

But it will not resolve the problem I am trying to resolve at the moment ie to why nconfig is pulled low after programming/configuring the loadware PFL code before even autodetecting the flash to program it with the main application code that later on should configure the FPGA

 

0 Kudos
Knug
Beginner
594 Views

Can someone please answer my following question before end of the day, so I can attempt to try it out to see if it resolves my problem ?

Q / Is is ok to set a WEAK_PULL_UP_RESISTOR on an output port ?

& can I set a WEAK_PULL_UP_RESISTOR on a port that has already an external pull-up resistor of 10K ? 

0 Kudos
Knug
Beginner
569 Views

Tried the weak pull up resistor BUT it did not resolve the issue I had !

 

0 Kudos
NurAiman_M_Intel
Employee
552 Views

Hi Kevin,


Thank you for contacting Intel community.


Apologize for the delay in response as I just received this case today.


Per my understanding from MAX V pin connection guidelines, connect this TMS and TDI input pins to a 10-kΩ pull-up resistor to VCCIO1.

https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/dp/max-v/pcg-01012.pdf


The Weak Pull-Up Resistor option should not be used at the same time as the Enable Bus-Hold Circuitry option. This option must be assigned to a pin or it is ignored.


Let me know if this is not the answer you are looking for as what I understand is you wanted to know if weal pull up resistor can be set to output port or not.


Regards,

Aiman






0 Kudos
NurAiman_M_Intel
Employee
525 Views

We do not receive any response from you to the previous answer that I have provided. This thread will be transitioned to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you.


0 Kudos
Knug
Beginner
514 Views

I did not finally set a weak pull up resistor on fpga_nconfig.It had already a 10k pull-up.

I resolved the issue I had by myself to why FPGA was NOT configured after successful flash programming

Wrt other tickets raised had to delay the pfl_nreset to get the 1 PFL solution to work.

0 Kudos
Reply