FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6359 Discussions

Can't Simulate NCO IP core

Altera_Forum
Honored Contributor II
1,537 Views

Hello All. 

 

I've run into a problem simulating the altera NCO IP core in ModelSim Altera. I've created an instantiation with the correct parameters and Quartus can compile it fine (there are a few warnings but nothing major). I've then attempted to simulate my design and I keep running into the same error. 

 

ModelSim brings up a warning about only being able to use a single HDL language (in Altera form) and then an error that it can't find an entity. The entity that is missing has a name of [my instantiation]_ts. I've been through the files created by core gen and I can only see this as A Verilog Module in a .v file but I can't find a VHDL equivalent. 

 

As much as Verlog is my native language I've been forced to use VHDL. I've confirmed that the simulation settings in core gen are set to give me VHDL output. I've re-run the generate just to be safe but with no luck. 

 

Does anyone know of a solution to this, as I'm stuck without it? I'm using a slightly old version of Quartus (13) simply because I know and trust it for the platform I'm targeting. If an upgrade will defiantly cure the problem then I'm happy to do so, but as I'm weeks away from delivery I don't want to mess things about if I don't have to. 

 

Regards, and thanks in advance 

 

Russell
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
808 Views

Vague recollection here ... but perhaps its for another IP core ... I'm pretty sure you need to simulate using the <pll_name>.vho file, rather than the <pll_name>.vhd file, which is for synthesis only. 

 

Cheers, 

Dave
0 Kudos
Altera_Forum
Honored Contributor II
808 Views

Hi Dave 

 

Thanks for the reply. 

 

I have tied this and still no Joy. The problem appears to be with Native Link / ModelSim Altera, which for some unknown reason keeps complaining about a multi language simulation. I've got no idea why this should be. If I run the TCL script for modelsim that references the the vho file, as you say, it's all fine. When I use native link to simulate more than just the IP core and include my code I get errors. 

 

I've tried including just the VHO file rather than the .qip but still no luck. I've also tried using the nativelink tcl script in Quartus to all the IP cores simulation file to native link and still no joy. 

 

I can see me needing to modify the example tcl file to include my code instead. 

 

Any ideas anybody? 

 

Thanks russell
0 Kudos
Altera_Forum
Honored Contributor II
808 Views

 

--- Quote Start ---  

 

If I run the TCL script for modelsim that references the the vho file, as you say, it's all fine. 

 

--- Quote End ---  

 

Ok, so the generated script works, but as you comment ... 

 

 

--- Quote Start ---  

 

The problem appears to be with Native Link / ModelSim Altera 

 

--- Quote End ---  

 

Create an Altera Service Request, and submit the Quartus project (.qar) file, and the procedure you used to start NativeLink, and perhaps a screen shot of the errors. 

 

That should help Altera figure out what is wrong. 

 

Personally I never use NativeLink, so that I can avoid these types of annoying issues. The default Modelsim Tcl scripts are fairly dumb, so I copy the relevant compilation instructions into a custom script, i.e., just because Altera auto-generates a script, it does not mean you have to use it :) 

 

Cheers, 

Dave
0 Kudos
Reply