FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6343 Discussions

DDR2 controller local_ready goes low

Altera_Forum
Honored Contributor II
818 Views

I couldn't figure it out why the local_ready goes low after many write or read cycles. I checked and the proper_beats_in_fifo = 0. Does anyone have the similar issue with the DDR2 controller?

0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
148 Views
0 Kudos
Altera_Forum
Honored Contributor II
148 Views

I've checked and am not sure if that the case as I don't as proper_beats_in_fifo = 0, not 1 as described in there.

0 Kudos
Altera_Forum
Honored Contributor II
148 Views

 

--- Quote Start ---  

Maybe you have experienced the following issue? 

 

http://www.alterawiki.com/wiki/local_ready_signal_issues_with_altera_external_memory_controller_ip 

--- Quote End ---  

 

 

Thank God, finally, the lousy documentation, whole day wasted!  

Look at the description of local_ready and the timing waveform of in the DDR2 documents! oh man :-s!
0 Kudos
Reply