FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6359 Discussions

DSP Builder 10.1 - Error: node instance instantiates undefined entity

Altera_Forum
Honored Contributor II
898 Views

Hi, 

 

I am trying to port a design done with DSP Builder 10.1 from windows to linux. 

 

The analysis works. I also manage to re-generate NCOs and CICs, but I receive an error message of the following kind when I try to re-generate a fir: 

 

node instance instantiates undefined entity 

 

Any hint is more than warmly welcomed! 

Bye 

AG76
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
235 Views

 

--- Quote Start ---  

Hi, 

 

I am trying to port a design done with DSP Builder 10.1 from windows to linux. 

 

The analysis works. I also manage to re-generate NCOs and CICs, but I receive an error message of the following kind when I try to re-generate a fir: 

 

node instance instantiates undefined entity 

 

Any hint is more than warmly welcomed! 

Bye 

AG76 

--- Quote End ---  

 

 

Hi there, 

 

This error message because some missing files your design needs I guess.
0 Kudos
Reply