FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6354 Discussions

Error while SOPC generation

Altera_Forum
Honored Contributor II
896 Views

Hi, 

 

I'm implementing an design that use NIOS II and PCI Compiler IP. 

 

I have a problem during the SOPC generation: 

Error: pci_compiler_0: HDL generation failed, see below : 

 

 

Someone could help me ? 

 

Thanks
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
180 Views

Hi, 

 

It seems run with the altera subscribe version. 

 

In the generated file (temporary), i saw : 

\iptb_pci_megacore_temp3235312769986624141\simgen\quartus_simgen.tcl 

line 6 :set_global_assignment -name "FAMILY" "stratix" 

 

I think the PCI Compiler Generate by default for stratix fpga. 

 

Do its a bug from the ip or it's just me ?  

 

Thanks
0 Kudos
Reply