FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6355 Discussions

FFT MegaCore Function

Altera_Forum
Honored Contributor II
1,367 Views

Hi, 

 

I am new in Quartus II and I need to implement a basic FFT using the MegaCore funtion. 

 

 

My FFT must have N = 64, streaming flow, 8 bits input and output. 

 

 

Can anybody help me? 

 

thanks
0 Kudos
7 Replies
Altera_Forum
Honored Contributor II
392 Views

Hi Filipe: 

 

If you have the "Licensed" version of Quartus II, the FFT mega function is included. 

 

You just go through the megafunction wizard and state the number of points, bitwidths, etc, and it will generate the core for you. Then call it in your design. 

 

The biggest problem people have with it, is the handshake signals, (sop, eop, etc) not being what the core expects.  

 

If you are using the web-edition, I think you can purchase the FFT core license, but it may be cheaper to buy the licensed version of quartus. 

 

Pete
0 Kudos
Altera_Forum
Honored Contributor II
392 Views

 

--- Quote Start ---  

Hi Filipe: 

 

If you have the "Licensed" version of Quartus II, the FFT mega function is included. 

 

You just go through the megafunction wizard and state the number of points, bitwidths, etc, and it will generate the core for you. Then call it in your design. 

 

The biggest problem people have with it, is the handshake signals, (sop, eop, etc) not being what the core expects.  

 

If you are using the web-edition, I think you can purchase the FFT core license, but it may be cheaper to buy the licensed version of quartus. 

 

Pete 

--- Quote End ---  

 

Hi Pete, 

 

Thanks for your help. 

 

Do you have any example to send me? 

 

My e-mail is: gutowimax@bol.com.br 

 

thanks again
0 Kudos
Altera_Forum
Honored Contributor II
392 Views

Unfortunately no:  

 

But should be able to generate it event with the Web version of Quartus: 

 

It should be located under the wizard is under tools/Megawizard plug-in manager/create/DSP/Transforms/FFT 

 

I think you can do this with the web version of Quartus, and get a design that will run for 15 minutes to 1 hour (under their open- time-limited) for free.  

Make sure you "Generate the simulation model" under the simulation tab after your parametrization the fft you want.. 

 

It also has the docs associated with the the FFT. 

 

Pete
0 Kudos
Altera_Forum
Honored Contributor II
392 Views

 

--- Quote Start ---  

Unfortunately no:  

 

But should be able to generate it event with the Web version of Quartus: 

 

It should be located under the wizard is under tools/Megawizard plug-in manager/create/DSP/Transforms/FFT 

 

I think you can do this with the web version of Quartus, and get a design that will run for 15 minutes to 1 hour (under their open- time-limited) for free.  

Make sure you "Generate the simulation model" under the simulation tab after your parametrization the fft you want.. 

 

It also has the docs associated with the the FFT. 

 

Pete 

--- Quote End ---  

 

Hello Pete, 

 

I got to build the FFT in MegaCore, but I don't get to simulate it. I don't know where I put my signal and simulate. I am using the modelSim. 

 

Do you know anything about this? 

 

thanks
0 Kudos
Altera_Forum
Honored Contributor II
392 Views

You will want to simulate using the <magafunctionname>.vo file. (If you are using verilog as your language) There should be a sample test bench that's generated as well.. 

 

I haven't played with VHDL generator, so I don't know exactly what it does for that, but it should do something similar.
0 Kudos
Altera_Forum
Honored Contributor II
392 Views

 

--- Quote Start ---  

You will want to simulate using the <magafunctionname>.vo file. (If you are using verilog as your language) There should be a sample test bench that's generated as well.. 

 

I haven't played with VHDL generator, so I don't know exactly what it does for that, but it should do something similar. 

--- Quote End ---  

 

 

 

Hi Pete, 

 

As I said you, I generated my megacore fft function, and I started the modelsim. But I don't get to run my .do file.  

 

Am I correct in run this file or I have to run another file. 

 

I open the modelsim and chang it for my directory, I go to Tools -> Tcl -> Execute Macro...and now i have to run my .do file? 

 

How can I do it? 

 

thanks
0 Kudos
Altera_Forum
Honored Contributor II
392 Views

Hi Filipe, 

 

did you succeed with your FFT simulation? 

I am trying the same, having problems with Modelsim, everything else OK 

Perhaps we may be able to help each other? 

 

regards 

 

Pete B
0 Kudos
Reply