FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6359 Discussions

I want to add an array of floating point values and store it in single register using floating point accumulator but unable to understand how to use the ip core.

mdudd
Beginner
868 Views
 
0 Kudos
3 Replies
MuhammadAr_U_Intel
413 Views
Hi, Please refer to Altera Floating point IP user guide to select the IP that serves best to your need. https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/ug/ug_altfp_mfug.pdf You need to select the IP based on the arithmetic operation you want to perform. Thanks, Arslan
0 Kudos
mdudd
Beginner
413 Views

Sir actually i want use floating point accumulator ip core in vivado but i am unable to understand some terms like tlast ,which we need to give as input to it .

0 Kudos
mdudd
Beginner
413 Views

Sir actually i want use floating point accumulator ip core in vivado but i am unable to understand some terms like tlast ,which we need to give as input to it .

0 Kudos
Reply