FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6359 Discussions

Multiple FIR Megafunctions

Altera_Forum
Honored Contributor II
858 Views

Hi, 

 

I am trying to use multiple FIR filters on a Cyclone II. I current have 1 of 6 that compiles correctly. 

 

When I compile with all the .qip files include i get this error. 

 

Error (10430): VHDL Primary Unit Declaration error at auk_dspip_lib_pkg_fir_120.vhd(15): primary unit "auk_dspip_lib_pkg_fir_120" already exists in library "work" 

 

But when i remove the duplicate libraries to remove this issue only the filter .qip that has the library is compiled. 

 

I also tried just including the files generated by the megafunction but that didn't seem to work either. 

 

Any one else ever work with multiple FIR filters in Quartus?
0 Kudos
0 Replies
Reply