FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6355 Discussions

PCI Compiler in QSYS

Altera_Forum
Honored Contributor II
1,118 Views

I'm busy porting a SOPC design into QSYS. My system uses the Altera PCI Compiler core (not pci express). Unfortunately there doesn't appear to be a QSYS component for the PCI Compiler.  

 

Has anyone successfully used the PCI Compiler with QSYS? If so, how did you go about importing the PCI compiler component into QSYS?
0 Kudos
4 Replies
Altera_Forum
Honored Contributor II
341 Views

while i don't know if Qsys was mentioned, there have been some threads about the PCI core being a bit old. proposed solutions were using PCIe internally and a PCIe/PCI bridge externally, or using 3rd party IP. it might be worth checking if the IP partners offer Qsys support for PCI

0 Kudos
Altera_Forum
Honored Contributor II
341 Views

If you generated a standalone PCI core then feed the HDL through component editor that should work. The PCI compiler relies on old APIs that do not exist in Qsys which is why it does not appear. It would require porting to the new APIs but given that PCIe is the common standard these days I highly doubt this will ever happen.

0 Kudos
Altera_Forum
Honored Contributor II
341 Views

 

--- Quote Start ---  

If you generated a standalone PCI core then feed the HDL through component editor that should work. The PCI compiler relies on old APIs that do not exist in Qsys which is why it does not appear. It would require porting to the new APIs but given that PCIe is the common standard these days I highly doubt this will ever happen. 

--- Quote End ---  

 

 

How do I create the standalone PCI core in SOPC? Thanks.
0 Kudos
Altera_Forum
Honored Contributor II
341 Views

Could you provide such design that can verify PCI compiler(verilog) to DDR2 memory read/write using SPOC design?. 

It would be better if design for 2c35 PCI board.
0 Kudos
Reply