FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6355 Discussions

PFL IP programming two flash devices simultaneously

Altera_Forum
Honored Contributor II
1,093 Views

Hi, 

 

Has someone succeed to program two 16-bit data width Flash (Micron G18, CFI capable) simultaneously using Altera PFL IP with Quartus Programmer ? 

According to PFL user guide, it is possible targeting dual P30 or P33 solution pages 33 and 40 but in IP sources (alt_pfl_pgm_enhanced.vhd entity), I've found that only 8-bit and 16-bit data witdh are valid Flash data bus width allowed by the IP and I'm wondering how Quartus programmer succeeds detecting two devices. 

Is the simultaneous Flash writing handle inside the IP? 

 

Thanks for your help.
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
206 Views

Hi,  

 

For the dual P30/P33 solution in the PFL, the Quartus ii programmer will detected a single device and not 2 devices. The dual P30/P33 solution only works with P30/P33 flash device and not other flash devices.
0 Kudos
Altera_Forum
Honored Contributor II
206 Views

Hi, 

 

thanks for your answer. 

 

Why does it work only for those Flash devices?
0 Kudos
Reply