FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6343 Discussions

SOPC PCIExpress target only not showing up

Altera_Forum
Honored Contributor II
893 Views

I'm trying to create a Cyclone IV GX PCI Express target (SPI and Parallel I/O) using SOPC builder and it isn't being seen by the host processor. I am sure there is something I need to do in the wrapper to get it working, but I can't figure out what.  

 

We were able to get the PCIExpress Compiler DMA Chaingin example to show up, but it would be nice to get the SOPC builder working for quick test builds of designs. 

 

Can anyone point me to what to do to get SOPC generated PCIExpress targets working on a Cylcone IV GX? 

 

Thanks 

 

Rob
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
183 Views

It is not for SOPC though... 

http://www.alterawiki.com/wiki/pci_express_in_qsys_example_designs 

 

This is for Qsys. 

Very easy to understand.
0 Kudos
Altera_Forum
Honored Contributor II
183 Views

I found a thread about porting the sopc demo (AN532?) to the aria GX that helped.  

 

I got it to work by creating a wrapper with test_in set to the right thing and an external clock run into an altgx_reconfig instance and the reconfig_clk. 

 

It would be nice if the instance created by the Sopc build would include a enough reference information to actually make the design work. 

 

Rob
0 Kudos
Reply