FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6356 Discussions

compilation problem in simulation

Altera_Forum
Honored Contributor II
882 Views

im using triple speed ethernet megacore to bring up rgmii interface with phy  

and i want to check how loopback is working in simulation 

while im complilng im not able to compile the altera_tse_top_gen_host file  

if we open the path we cant able to see any thing ... 

so any one know the solution to bring up the simulation for triple speed ethernet inform me with out fail 

reply as soon as possible 

 

Revert for any clarifications
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
173 Views

Those files should be in C:/altera/10.0/ip/altera/triple_speed_ethernet/lib. In the path, the drive "C:" should be whatever drive your tools are installed on, and the version "10.0" should be whatever version you're using. Caution: those files are encrypted, and you may not be able to compile them. I'm running into that problem with my own TSE simulation ( you can see my thread on this forum )

0 Kudos
Altera_Forum
Honored Contributor II
173 Views

hey  

then how to bring the solution for this problem,will u dont mind, send ur setup for triplespeedethernet simulation. 

reply as soon as possible 

Revert for any clarifications
0 Kudos
Altera_Forum
Honored Contributor II
173 Views

I'm working on a solution myself. Here is what I've learned so far. In QuartusII, when you generate a TSE core using Megacore, you select "generate simulation model" on the EDA tab. This will tell you that you need 4 model libraries: altera_mf, 220model, sgate and arriaii_hssa_atoms ( if you're using arria ) This sould generate a *.so file to be used in your simulation. 

 

I also understand that some simulators might be able to compile the sources, but I'm still checking on that.
0 Kudos
Reply