FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5891 Discussions

AD/DA Data conversion card

Altera_Forum
Honored Contributor II
1,151 Views

Hello, 

I need to connect AD/DA Data Conversion card on my DE2-115 board using HSMC connector. I need the pinout for both boards for the same. 

In the DE2-115 user manual I can find the FPGA pin names against the signal names of HSMC connector but can not understand the pin numbers of the connector. 

 

and in the AD/DA conversion card reference manual pinouts are given for Cyclone III and Stratix III boards but not for this particular Cyclone IV board. 

Link for the card I want to use : https://www.terasic.com.tw/cgi-bin/page/archive.pl?language=english&categoryno=73&no=360&partno=2 

 

Any help is appreciated. 

Thanks!
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
275 Views

I see HSMC pin assignment clearly documented in FPGA- and extension-board schematics.  

 

A problem with HSMC pin numbers is caused by the fact that the ADDA card (at least the previous version) are using a connector with additional pins in the LVDS Bank 1, resulting in a total of 180 versus 160 signal pins. Both connectors are plug-in compatible as far as I understand, but numbers are different,
0 Kudos
Reply