FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5924 Discussions

Compilation time of Quartus Prime Pro

Msrikanth
Beginner
260 Views

Hi all, currently Iam working on Quartus Prime Pro 22.1 version with multi core Workstation with 128 GB RAM. But for a reasonably complex design with Platform Designer IPs is taking too much time more than 1 hour.  And the tool is not utilising all the CPU cores. Can anybody suggest me to improve the compilation time of the tool please. 

0 Kudos
2 Replies
sstrell
Honored Contributor III
253 Views

What device are you targetting and how large is the design?  Did you enable the option in the Tools settings to use all cores?  I think Quartus can only use up to only a certain number, but I don't recall what that is.

If the design is large and you're using a larger device (like Stratix 10 or Agilex) and if you have difficulty to meet timing requirements, you should certainly expect longer compilations.

 

0 Kudos
hareesh
Employee
230 Views

Hi Msrikanth,


I am sharing a reducing compilation time document. Follow the document.


link:

https://www.intel.com/content/www/us/en/docs/programmable/683236/22-1/reducing-compilation-time.html


Thanks,


0 Kudos
Reply