FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5979 Discussions

Error In IP instantation

mupparthivenkatesh
396 Views
hi * Error: (vsim-3033) C:/altera/13.0sp1/Projects/example1/core_generator.v(99): Instantiation of 'altpll' failed. The design unit was not found. # # Region: /core_generator # Searched libraries: # C:/altera/13.0sp1/Projects/example1/simulation/modelsim/rtl_wor when i am using ip core design. I am getting this issue
0 Kudos
1 Reply
sstrell
Honored Contributor III
379 Views

There's something wrong with the PLL instantiation.  Go through the parameter editor again (called the Megawizard for that old version of Quartus) to regenerate the IP files and verify your PLL instantiation in the .v file mentioned.  Make sure the IP file(s) (probably just the .qip file) is added to the project (Project menu -> Add/Remove files).

0 Kudos
Reply