FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits

Setting output delay

SKon1
Novice
788 Views

Hello,

 

I want to constrain my system (attached) for input and output delays.

The input delay is straightforward. But I have my doubts about the output delay.

 

This is what I did for the input delay:

 

create_clock -name clock_in -period 10.000 [get_ports {clock_in}]

set_input_delay -clock clock_in -max 1.0 [get_ports data_in] 

set_input_delay -clock clock_in -min 0.1 [get_ports data_in] 

 

Now, what should I do to specify the output delay ?

What clock should be used for reference ?

 

clock_in ?

 

set_output_delay -clock clock_in -max -1.0 [get_ports data_out]

set_output_delay -clock clock_in -min -0.1 [get_ports data_out]

 

Or clock_out ?

 

Now, what should I do to specify the output delay ?

 

set_output_delay -clock clock_out -max -1.0 [get_ports data_out]

set_output_delay -clock clock_out -min -0.1 [get_ports data_out]

0 Kudos
12 Replies
sstrell
Honored Contributor III
696 Views

You have created a single data rate source synchronous interface, so you have to create a generated output clock as the reference clock. See this online training for the details:

 

Constraining Source Synchronous Interfaces

 

#iwork4intel

SKon1
Novice
696 Views

So I should first use the "create_generated_clock" command like this:

create_generated_clock -name clock_out -source [get_ports {clock_in}] [get_ports {clock_out}]

And then use the the generated clock as reference:

set_output_delay -clock clock_out -max -1.0 [get_ports data_out] set_output_delay -clock clock_out -min -0.1 [get_ports data_out]

Correct ?

 

0 Kudos
sstrell
Honored Contributor III
696 Views

Yes, that looks good. Your max and min values should of course be based on the timing requirements of the "downstream" device that you are connecting to, and usually those values (or at least not both of them) aren't negative. Do you have setup/hold info or skew info for the downstream device?

 

#iwork4intel

SKon1
Novice
696 Views

Thanks,

That was a theoretical question - so the actual setup/hold times aren't relevant.

 

Is there another lecture on DDR interfaces ?

0 Kudos
SKon1
Novice
696 Views

Thanks.

If any Intel employee sees this - both lectures don't work well when they're downloaded as a zip file.

It launches, you can hear the speech - but the slides don't show on the screen.

On the web it works fine.

0 Kudos
Kenny_Tan
Moderator
696 Views

Hi Shai Kon,

 

We was able to see this as well. we will get our Intel IT to fix this .

 

Thanks

0 Kudos
sstrell
Honored Contributor III
696 Views

In the .zip file, run Launch_Presentation.exe. This will run the training. The instructions supplied when you registered should have mentioned this.

 

#iwork4intel

0 Kudos
Kenny_Tan
Moderator
696 Views

Steve was right, I will had our IT to have a readme.txt files for enhancment.

0 Kudos
SKon1
Novice
696 Views

There is NO file named Launch_Presentation.exe in the zipped archive

0 Kudos
sstrell
Honored Contributor III
696 Views

I just realized these are older trainings that don't include the Launch_Presentation.exe executable. As such, use the presentation_html5.html file (instead of presentation.html) to run these trainings in a modern browser (without Flash).

 

#iwork4intel

0 Kudos
Kenny_Tan
Moderator
696 Views

Sorry, what I mean is click on

 

launcher.html

 

It work from my side.

 

 

0 Kudos
Reply