FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5892 Discussions

The command aoc device/boardtest.cl --sw-dimm-partition -o bin/boardtest.aocx generates the message: Could not acquire a valid license for the Altera SDK for OpenCL and parser error details. Is it possible to get a trial license?

amara5
New Contributor I
2,716 Views
 
0 Kudos
6 Replies
CalvinJoaz_P_Intel
516 Views

Hi Alessandro,

 

Which Quartus version and device you're using?

If you're using Intel Quartus Prime Pro/Standard Edition software no additional licenses are required for Intel FPGA SDK for OpenCL. 

Refer link below.

https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/po/ss-quartus-comparison.pdf

Also, sorry to inform there is no trial license provided for FPGA SDK for OpenCL.

Thank you. 

0 Kudos
KhaiChein_Y_Intel
516 Views

Hi amara5,

 

Kindly contact Intel sales representative for evaluation license.

 

Thanks

0 Kudos
amara5
New Contributor I
516 Views

Hi,

thanks for the replies.

 

I was trying to use a DE1-SoC board with DE1-SoC OpenCL BSP v.1.1, Quartus 16.0 and Altera SDK OpenCL 16.0, since these software tools seem to be the only possibility to experiment OpenCL with DE1-SoC.

 

Yesterday I tried to install EDS 17.1 including Quartus and OpenCL SDK, using the same DE1-SoC OpenCL BSP v.1.1.

Now the command: aoc device/boardtest.cl --sw-dimm-partition -o bin/boardtest.aocx starts without asking for a valid license, although it produces errors apparently related to coding errors.

I hope they are not due to incompatible software versions.

 

Thank you again.

0 Kudos
amara5
New Contributor I
515 Views

The command: aoc device/boardtest.cl --sw-dimm-partition -o bin/boardtest.aocx produces the output contained in the enclosed boardtest.txt.

 

Thank you

 

 

0 Kudos
KhaiChein_Y_Intel
515 Views

Hi amara5,

 

Referring to https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/po/ss-quartus-comparison.pdf, Intel FPGA SDK for OpenCL requires no additional licenses and it is supported with the Intel Quartus Prime Pro/Standard Edition software.

 

The version, v16.0 you are using is the older version. You are advised to use the latest version of the tool since no additional licenses are required in the newer version.

 

Thanks.

0 Kudos
HRZ
Valued Contributor III
515 Views

@KhaiY_Intel​ But obviously he cannot do that since the last BSP Terasic ever released for that board is only compatible with Quartus v16.0, which requires an OpenCL license, and using the old BSP with newer versions of Quartus does not work as is obvious from his compilation log posted above.

 

@amara5​ I am afraid you have no choice to obtain an OpenCL license if you want to use OpenCL on your board. Contact Terasic and see if they are willing to provide you with an OpenCL license since, as mentioned by others, an OpenCL license is not required anymore in the newer versions of Quartus but you are forced to use an old version which does require such license since Terasic has not updated their BSP for your board (and probably never will).

0 Kudos
Reply