Intel® FPGA Software Installation & Licensing
Installation and Licensing that’s includes Intel Quartus® Prime software, ModelSim* - Intel FPGA Edition software, Nios® II Embedded Design Suite on Windows or Linux operating systems.
1154 Discussions

License server does not support this feature (alteramtivlog)

lingxi10
Beginner
1,204 Views

odelsim license not working, GUI can be open up and vlog is fine. When starting the stimulation, it gives to following issue:

# vsim work.tb
# Start time: 12:38:49 on Jun 23,2021
#
# ** License Issue: License server does not support this feature (alteramtivlog)
# ** License Issue: No such feature exists. (/home/shirley/1-U8XDY3_License.dat)
# ** Error: Failure to obtain a Verilog simulation license. Unable to checkout any of these license features: 'alteramtivsim' or 'alteramtivlog'.
# Error loading design
# End time: 12:38:50 on Jun 23,2021, Elapsed time: 0:00:01
# Errors: 0, Warnings: 0

 

Anyone has any idea why this happens? Thanks a lot!

0 Kudos
4 Replies
Zawani_M_Intel
Employee
1,189 Views

Hi lingxi10,

 

Good day!

 

You may check the MGLS_LICENSE_FILE and LM_LICENSE_FILE variable that has been set if it is pointing to the correct or latest license file/server.

You also may need to check if any firewall has been enabled and if necessary add exceptions for these ports in the firewall or disable the firewall and have try if you can get the license to work.

 

Thanks!

 

Wani

0 Kudos
lingxi10
Beginner
1,174 Views

Here is how I setup my license:

export LM_LICENSE_FILE="/home/shirley/1-U8XDY3_License.dat"
export MGLS_LICENSE_FILE="/home/shirley/1-U8XDY3_License.dat"

 

where the dat file is my license file. I was able to use the same license file for Quartus. For firewall, this licence is specifically generated for the IP address of this computer and I was able to use Quartus just fine so I don't understand why modelsim doesn't work. Below attach the beginning of the license. I think one thing bothers me is in the comment that the expiration data is the same day that I applied for this license. Not sure if this may cause problem?

lingxi10_1-1625503245596.png

 

 

0 Kudos
Zawani_M_Intel
Employee
1,160 Views

Hi lingxi10,

 

I have sent you a private email to request for some confidential info for checking.

Thanks!

 

Wani

0 Kudos
JBorr6
Novice
979 Views

Hello,

 

I am having the same problem. Was this issue already solved?

0 Kudos
Reply