Intel® FPGA Software Installation & Licensing
Installation and Licensing that’s includes Intel Quartus® Prime software, ModelSim* - Intel FPGA Edition software, Nios® II Embedded Design Suite on Windows or Linux operating systems.
1143 Discussions

Set_output_delay commands does not apply to the output signal

JOter
Beginner
2,054 Views

We have a design where we control an external RAM memory. We generate the CLK and control memory signals in a FPGA (Cyclone IV). The CLK signal is generated using a PLL, with 180º phase shift.

The design is working but we have detected that "set_output_delay" dosen't apply. For example, we have compared, in an oscilloscope, the delay beetwen the signals CLK and a bit ADD[15] bus and it is constant for any "set_out_delay".

 

We are using the next constrains configuration:

 

create_clock -name RAM_CLK -period 16 [get_ports {RAM_CLK}]

 

create_generated_clock -name vt_RAM_CLK -source [get_ports {RAM_CLK}] -divide_by 1 -multiply_by 1 -phase 180

 

set_output_delay -clock {vt_RAM_CLK} 3 [get_ports {RAM_ADD[15] }].

 

In next figure, you can see timing of both signals.

timing.jpg

The delay is contant for any "output_delay" value (0, 1, 2, 3..).

 

 

 

 

0 Kudos
23 Replies
KhaiChein_Y_Intel
1,460 Views

Hi,

 

What is the max and min output delay? Is it within range?

Can you report Ignored Constraints and see if the set_output_delay constraint is being ignored?

 

Thanks.

0 Kudos
JOter
Beginner
1,460 Views

Thanks for your email.

The constraints are not included in ignored constraints report.

We tried with delay between 0 to 8. With the same and different max / min values.

 

0 Kudos
KhaiChein_Y_Intel
1,460 Views

Hi,

 

Does the delay exceed the max/min value specified?

 

Thanks.

0 Kudos
JOter
Beginner
1,460 Views

Hi,

 

The phisical delay beetwen both signals is always the same, about 0ns

 

 

0 Kudos
KhaiChein_Y_Intel
1,460 Views

Hi,

May I know the software version and edition? Can you share the test case for investigation?

Thanks

0 Kudos
JOter
Beginner
1,460 Views

​Hi,

We are using Q13. I sorry but I can't share the Project.

Anyway, I  send you another similar. It uses Q18

 

 

regards

 

 

    

 

 

0 Kudos
KhaiChein_Y_Intel
1,460 Views

Hi,

 

I check the project.qar, the output delay is the same as the value in the .sdc file

 

Example 1:

Capture 1.PNG

Example 2:

Capture 2.PNG

 

Thanks.

0 Kudos
JOter
Beginner
1,460 Views

Thanks for your email.

I know that the delay in the Timequest simulation is correct, probably I forgot to comment it. The problema is I dont see this delay monitoring the signal in the oscilloscope.

 

Regards.

0 Kudos
KhaiChein_Y_Intel
1,460 Views

Hi,

Is the measured delay is within the range set in the sdc?

 

Thanks.

 

0 Kudos
JOter
Beginner
1,460 Views

Hi,

The delay is always the same.

Can you test the output signals in a test board o similar?

 

Regards

 

 

0 Kudos
KhaiChein_Y_Intel
1,460 Views

Hi,

 

I understand that the delay is the same. Does the delay exceed the delay set in the sdc? The result in the timing analysis is the worst case scenario. Just would like to make sure that the delay measured is within the range.

 

Thanks.

0 Kudos
JOter
Beginner
1,460 Views

Hi,

 

The phisical delay lower to the max/min delay setting.

 

Regards

 

 

0 Kudos
KhaiChein_Y_Intel
1,460 Views

Hi,

Is the signal being captured correctly at the receiver end?

 

Thanks.

0 Kudos
JOter
Beginner
1,460 Views

Hi,

In the real design, we use a phase 190º shift clock and is it working. For the test, we are using a 0º phase shift clock, and is also working even without any constraints.

Anyway, it is strange for me, the timing  in the oscilloscope of both signals (data and clock) are the same for any "output_delay value" (form o to 12n for example). In some of them Time quest reports setup error.

 

Regards

0 Kudos
KhaiChein_Y_Intel
1,460 Views

Hi,

 

Where do you probe the signal?

Referring to Figure 10 in https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/manual/mnl_timequest_cookbook.pdf, do you probe at the data_out of the FPGA or data_in of the external device?

 

Thanks.

0 Kudos
JOter
Beginner
1,460 Views

 

Hi,

 

Our design is different to figure 10 architecture. We generate the CLOCK in a FPGA PLL. You can see more details in my first message. The FPGA and the memory are very near, and I have connected both probes near memory pins.

For example, the inpout delay setting ir working properly. The design includes 16 LVDS DDR channels and 4GHz, and if I modify the setting to a wrong value we get wrong data.

Regards

 

0 Kudos
KhaiChein_Y_Intel
1,460 Views

Hi,

 

May I know what do you mean by wrong value settings?

 

Thanks.

0 Kudos
JOter
Beginner
1,460 Views

Hi,

 

I want to say values out of range of Inputs requirements.

 

Regards

 

 

 

0 Kudos
KhaiChein_Y_Intel
1,460 Views

Hi,

 

It is expected for the receiver end to receive the wrong data if the value set is out of the range of the input requirement.You have to make sure that the value set is with the input requirement of the receiver end. 

 

Please let me know if I understand wrongly. There is no timing violation reported in the Timing Analysis and the signal is being captured correctly at the reciever end when the delay is set within the range of input requirement.

 

Thanks.

0 Kudos
JOter
Beginner
1,381 Views

Hi,

It seems I have not explain correctly.

In the input case, the setting is defined correctly the setting, but I modified it to a wrong value to confirm that the constraints apply as it was expected.

 

In reference to the output setting, it is also working properly but I want to test that I'am able to modified the CLK-signal timing using a wide range of max/min (from 0 to 12 for example) "output_delay". But the real delay is the same.

 

Regards

 

 

 

 

0 Kudos
Reply