Intel® FPGA Software Installation & Licensing
Installation and Licensing that’s includes Intel Quartus® Prime software, ModelSim* - Intel FPGA Edition software, Nios® II Embedded Design Suite on Windows or Linux operating systems.

Unable to checkout license error

hotoppa
Beginner
978 Views

Hi. I have requested and downloaded the license needed to run simulations on questa however, when I try to run simulations I receive this error below. When I run it in my command prompt, it shows that the license file is found. I have edited my environment variables to also have the license and match. I also set up my Quartus with the license file, using questa as the simulation tool, and making sure my EDA is set to the questa win64 folder. I am not sure what to do at this point. 

The license is a fixed license and is for sure set up correct for my current laptop. 

 
0 Kudos
1 Solution
AR_A_Intel
Employee
826 Views

Hello,

Good day

 

Apologize for the inconvenience happen, there are some technical issues due to upgrading proses. Now the license generator issue has been resolved. Login to https://licensing.intel.com/ to get your new license file and let us know how it goes.


View solution in original post

0 Kudos
8 Replies
AR_A_Intel
Employee
920 Views

Hello

 

Welcome to INTEL forum. Please provide your Questa license.dat file for furthers checking.


0 Kudos
hotoppa
Beginner
916 Views

hotoppa_0-1675733238763.pnghotoppa_1-1675733272023.png

Hi. the forum will not let me upload the actual file for the license.dat but here is a screenshot of the license, and the environment variable. 

0 Kudos
AR_A_Intel
Employee
901 Views

Hi

 

Thanks for update. We need your Quaets License.dat file to better understand the issue.

For privacy, reply/attach file in private message.


0 Kudos
hotoppa
Beginner
881 Views
0 Kudos
AR_A_Intel
Employee
872 Views

Got it and done reply. TQ


0 Kudos
AR_A_Intel
Employee
827 Views

Hello,

Good day

 

Apologize for the inconvenience happen, there are some technical issues due to upgrading proses. Now the license generator issue has been resolved. Login to https://licensing.intel.com/ to get your new license file and let us know how it goes.


0 Kudos
AR_A_Intel
Employee
781 Views

Hope all well. We do not receive any response from you to the previous reply/answer that I have provided. This thread will be transitioned to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you


0 Kudos
hotoppa
Beginner
766 Views

Hi apologies for not replying. The previous answer that was provided did help. The license works, and I am now able to access questa. Thank you. 

0 Kudos
Reply