Intel® FPGA University Program
University Program Material, Education Boards, and Laboratory Exercises
1174 Discussions

DE2 crystal clock pulse

Altera_Forum
Honored Contributor II
888 Views

Hi All, 

I need to generate a square wave from the DE2 board. I already assigned the DE2 board internal, 50MHz clock to a NOT gate and its output to a GPIO pin and read using an oscilloscope. 

however, it gives me only a very distorted square wave as the clock pulse. Even the clock pulse given by the crystal is much distorted. 

 

Is there any way to obtain a clean clock pulse (square wave) out of the DE2 board? 

 

Thanks
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
203 Views

I assume, that most of the distortion is caused by your oscilloscope probe. Actually a 50 MHz squarewave can't be exactly acquired without an active probe or a resistive high frequency probe. In addition, the IO pin drive strength may be reduced to match the trace impedance.

0 Kudos
Altera_Forum
Honored Contributor II
203 Views

Agree with FvM, most likely the problem is with the measurement, not the signal itself.  

 

The length of the ground wire on scope probes means that it is useless for any sort of high speed measurements, you need to reduce the length of the loop that goes from the driver of the signal, to the probe tip, to the probe ground, to the PCB ground and back to the driver. 

 

One handy tip I've used is to unscrew the sheath of the scope probe and hold an unbent paperclip across the metal of the probe body to a nearby ground point on the PCB. This massively shortens the length of the ground loop. I hear the blade of a craft knife is even better, because a wide strip of conductor has a lower impedence than a thin wire. 

 

Also, reduce the drive strength unless you need it.
0 Kudos
Reply