Intel® FPGA University Program
University Program Material, Education Boards, and Laboratory Exercises
1180 Discussions

Simple Socket Server on DE2 w/Davicom DM9000A

Altera_Forum
Honored Contributor II
5,870 Views

updated. 

Altera's Simple Socket Server demo running on a DE2 board with the Davicom DM9000a driver. 

 

here it is....zipped downloaded project source for sss on the de2 board. 

download link currently unavailable. 

 

Includes: 

- Hardware design (TLD in schematic block diagram format) 

- Programmable .SOF (time-limited, as developed in Quartus Web Edition v9.2) 

- SOPC builder system file 

- DM9000A driver for Nichestack TCP/IP stack / Altera HAL environment (courtesy of Columbia Uni) 

- Simple socket server software 

 

Simply... 

- (1) Download the .SOF in Quartus Programmer 

 

- (2) Open the software workspace in the "/software" directory in Nios II Build Tools (Eclipse IDE) 

 

- (3) Open Run >> Run Configurations. Delete the current configuration. Create a new launch configuration selecting the project name under the Project Tab. Ensure your DE2 board is plugged into USB. Check the target connection tab and make sure it is present. 

 

- (4) Plug into your local network (DHCP is enabled, or app will default to static IP) 

 

- (5) View the Nios II console for debugging information (via JTAG UART) 

 

- (6) Telnet into the board from a pc: telnet <ip address> 30. Enjoy the simple socket server demo from Altera! 

 

Questions/comments? Fire away below!
0 Kudos
98 Replies
Altera_Forum
Honored Contributor II
383 Views

Thanks a lot.  

 

Is this the original project file, DE2_SimpleSocketServer.zip, from tnndesign?? 

 

 

Best regards,
0 Kudos
Altera_Forum
Honored Contributor II
383 Views

where I can download it?

0 Kudos
Altera_Forum
Honored Contributor II
383 Views

@sypeng,  

yes it's like what I get it.
0 Kudos
Altera_Forum
Honored Contributor II
383 Views

Sorry guys but the last link there does not at all seem to be what it is being claimed. 

The project that is just the ping example, messed aroud a bit 

 

the project described in this thread speaks of the initche stack, Avalano fabric. 

none of which are in the software included. 

 

Please anyone that has the original files please submit again as we are also working with a DE2 Board with the DM9000A chip and no avalon driver. 

 

Thanks  

Gabriel
0 Kudos
Altera_Forum
Honored Contributor II
383 Views

Could please repost the file, Im osrry to bother people like this but it seems thats the only way I'll find them

0 Kudos
Altera_Forum
Honored Contributor II
383 Views

Could someone post the file or email it to me? 

 

PLEASE :) 

 

Jeff Goeders 

jeffrey.goeders at gmail.com
0 Kudos
Altera_Forum
Honored Contributor II
383 Views

Hi ,I am new to fpga and NIOSII, i am trying to use simple web server eg given as a template in NIOSII ide. For that my first step was ,i included dm9000a driver in qsys and connected it with appropriate pins in quartus bdf. When i tried to run the eg on NIOSII. i got the following message (down)which is very familiar here but i cannot figure out what should i do. Please if someone can post some help soon,Your help will be highly appreciated. My board is DE2. (2c35f672c6 FPGA) 

 

 

InterNiche Portable TCP/IP, v3.1  

 

Copyright 1996-2008 by InterNiche Technologies. All rights reserved.  

prepped 0 interfaces, initializing... 

inet startup error: unable to find any working interfaces 

panic: IP 

dtrap - needs breakpoint 

 

 

Regards
0 Kudos
Altera_Forum
Honored Contributor II
383 Views

Hi, is the file NET2 which was uploaded on mediafire is it the correct one for the socket server? and can someone help me with the steps to program it into the board... 

im still new to quartus and FPGA........
0 Kudos
Altera_Forum
Honored Contributor II
383 Views

Dear Sir, Can you give this simple socket server on DE2 . I cant get it from mediafire. That is very need for me thankyou.  

 

tharangasliit@gmail.com
0 Kudos
Altera_Forum
Honored Contributor II
383 Views

http://www.terasic.com/downloads/cd-rom/de2/  

 

you can download from this website, download the cd-rom. It has all the demo for any version of quartus including DE2_NET
0 Kudos
Altera_Forum
Honored Contributor II
383 Views

 

--- Quote Start ---  

Please refer to the following links to the VOIP project @ Columbia Uni. 

I have sourced the DM9000a SOPC component + Nichestack driver from this project. 

 

http://www1.cs.columbia.edu/~sedwards/classes/2009/4840/reports/voip.pdf (http://www1.cs.columbia.edu/~sedwards/classes/2009/4840/reports/vp.pdf

http://www1.cs.columbia.edu/~sedwards/classes/2009/4840/reports/voip.tar.gz 

--- Quote End ---  

 

 

 

can you give me that source code... i couldnt find anyware, i want SOPC and nichestack source code thankyou
0 Kudos
Altera_Forum
Honored Contributor II
383 Views

Hello to everyone, I would be interested into the possibility of communicating with the DE2 board by using the Ethernet connection. 

I have downloaded the DE2_NET example from Terasic´s website too, but I seem to still have a problem with the Davicom DM9000A controller. 

At the moment I am using Quartus 13.0. When I open the project into Qsys, it is automatically converted for the compatibility, but afterwards 

I am not able to generate the Synthesis of the system in VHDL, I seem to get a lot of errors concerning several components, between the there is the 

DM9000A controller too. 

 

Is there any version of this component, compatible with the new Qsys? 

 

Furthermore, I have noticed that inside the DE2_NET project, when I open it with Qsys, I find two different versions of the DM9000A controller, one of them is missing the clk_reset pin, 

while the other one has it. 

How can I have this controller available for my project too? When I load it from the DE2_NET project, I just get the one without any clock. 

 

Any advice? I don´t think that it should be so difficult to get the ethernet working.
0 Kudos
Altera_Forum
Honored Contributor II
383 Views

Dear 8 strings, i suggest to try older versions of Q II like 7.1 or earlier, because the dm9000a was available on the sopc builder. And probably works fine without pins problems.

0 Kudos
Altera_Forum
Honored Contributor II
383 Views

 

--- Quote Start ---  

Dear 8 strings, i suggest to try older versions of Q II like 7.1 or earlier, because the dm9000a was available on the sopc builder. And probably works fine without pins problems. 

--- Quote End ---  

 

 

Hi almemar, 

 

Thanks for your reply. As you have suggested I have downloaded and installed an older version of Quartus, I have checked the CD coming with the board, and it comes with Quartus 7.2. I have installed it, but the example contained inside the CD is actually older I suppose. When I start SOPC, it tells me to update che system_0.qtf to the newer system_0.sopc, I tell it to update but after that, SOPC doesn´t start. 

After this I have tried open a new project and run SOPC to try building a new design, but the result is the same, the software actually won´t start. I suppose this is happening because the version 7.2 of Quartus II is compatible with windows xp and windows 2000 but not with windows 7. Is it there any patch to fix the problem? 

In case there is no patch, how can I manage to handle the ethernet connectrion throught my DE2 board?
0 Kudos
Altera_Forum
Honored Contributor II
383 Views

Hi 8strings,  

I've not thought this through. I'm not sure about the patch. I think you new create new subject about this. The connections issue I'm not really familiar with it in de2. I've tried before to work on it, but I failed on that one. The problem with de2 board now it's not up to date with the new Q II and Nios II. For example, dm9000a in sopc v 7.2 and later, is not supported. In addition, older and closed site www.nioswiki.com has de2 templates which is not available on any cd with any device, and after they closed it the didn't move the data to the new site www.alterawiki.com. 

If you are going through this I suggest you look to get three things 

first: make sure to compile hardware part in QII successfully. Even with small unconstrained paths.  

Second: Check the dm9000a does it need license? I suggest you check with their company directly try to call them "http://www.davicom.com.tw/

Third: You need an application/software part in Nios II, which I struggled too long searching for it, but I couldn't find. There are some templates on NiosII like " simple socket server" and " Web server", but I'm not sure they work directly
0 Kudos
Reply