Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16705 Discussions

Adding generated IPs as library in Questa

JackDalton
Novice
276 Views

Hello,

 

I am using Quartus Prime Pro 24.1.

I have generated a DC FIFO for Agilex 7 with simulation model "VHDL" and Modelsim flow "Traditional".

I like to add the FIFO as Library in Questa but Questa claims the library to be "unavailable". 

How is it correctly done ?

I have attached my generated IP.

 

Kind regards

jack dalton

Labels (1)
0 Kudos
1 Solution
JackDalton
Novice
162 Views

Hello,

 

I have found the solution. Thank you

I just expected msim_setup.tcl do the whole work but compile of libraries and simulation files was not exectuted.

In my opinion a msin_setup should setup everything, preparing the project with its libraries ready for simulation.

 

Kind regards

Jack dalton

 

 

View solution in original post

0 Kudos
4 Replies
JackDalton
Novice
230 Views

Running "msim_setup.tcl" created the corresponding library directories, but they are empty.

What is missing is the "fifo_1927" library. 

JackDalton_0-1717745715751.png

How do you integrate the library into Questa from the generated IP? What is missing ?

The help "Design Simulations" and "Introduction to FPGA IPs" did not provide any new insights for me.

 

kind regards

Jack dalton

0 Kudos
JackDalton
Novice
198 Views

Hello,

 

For every action in Questa, the program is set for 5 seconds and then the action is carried out.

Could it have something to do with the Questa Floating license?

 

kind regards

Jack dalton

 

0 Kudos
JackDalton
Novice
163 Views

Hello,

 

I have found the solution. Thank you

I just expected msim_setup.tcl do the whole work but compile of libraries and simulation files was not exectuted.

In my opinion a msin_setup should setup everything, preparing the project with its libraries ready for simulation.

 

Kind regards

Jack dalton

 

 

0 Kudos
TingJiangT_Intel
Employee
145 Views

I’m glad that your question has been addressed, I now transition this thread to community support. If you have a new question, Please login to ‘https://supporttickets.intel.com’, view details of the desire request, and post a feed/response within the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you on your follow-up questions.


0 Kudos
Reply