Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16597 Discussions

Altera Quartus tool getting crashed

Altera_Forum
Honored Contributor II
1,161 Views

Hello all, 

 

I am using Quartus 8.1.  

Following is the error I am getting when I run my quartus --- (During Fitter phase). Can someone please comment on the same?  

 

 

Internal Error: Sub-system: FSAC, File: /quartus/fitter/fsac/fsac_post_fit_clock_skew_checker_op.cpp, Line: 433 

FSAC_POST_FIT_CLOCK_SKEW_CHECKER_OP completed with errors 

Stack Trace: 

0x1DE0C2 : FSAC_POST_FIT_CLOCK_SKEW_CHECKER_OP::work() + 0x102 (fitter_fsac) 

0x23D44 : FTITAN_EXPERT::fitter_post_operations() const + 0x4D4 (fitter_ftitan) 

0x8A7F6 : FITCC_EXPERT::fitter_post_operations() const + 0x186 (fitter_fitcc) 

0xF0F9D : FITCC_EXPERT::invoke_fitter() const + 0x7AD (fitter_fitcc) 

0x1F72C : ftitan_execute + 0x2BA (fitter_ftitan) 

0x32364 : fmain_start(CMP_FACADE*) + 0x604 (fitter_fmain) 

0x22D48 : qfit_execute_fit(QFIT_FRAMEWORK*) + 0x308 (quartus_fit) 

0x2690D : QFIT_FRAMEWORK::execute() + 0x77D (quartus_fit) 

0x14A77 : qexe_do_normal(QEXE_FRAMEWORK*, char const*) + 0x29B (comp_qexe) 

0x16E36 : qexe_standard_main(QEXE_FRAMEWORK*, QEXE_OPTION_DEFINITION const**, int, char const**) + 0x330 (comp_qexe) 

0x196F0 : qfit_main(int, char const**) + 0x80 (quartus_fit) 

0x1A2F6 : msg_main_thread(void*) + 0x16 (ccl_msg) 

0x4B88 : thr_final_wrapper + 0xE (ccl_thr) 

0x1BC10 : msg_thread_wrapper(void* (*)(void*), void*) + 0x72 (ccl_msg) 

0x3F852 : mem_thread_wrapper(void* (*)(void*), void*) + 0xD2 (quartus_fit) 

0x61C7 : err_thread_wrapper(void* (*)(void*), void*) + 0x29 (ccl_err) 

0x4BB5 : thr_thread_wrapper + 0x29 (ccl_thr) 

0x2A870 : msg_exe_main(int, char const**, int (*)(int, char const**)) + 0x9E (ccl_msg) 

0xEF0F : main + 0x4F (quartus_fit) 

0x15E9C : __libc_start_main + 0xDC (c.so.6) 

0xE891 : (quartus_fit) 

 

End-trace 

 

 

--------------------- 

 

thanks 

Saransh
0 Kudos
5 Replies
Altera_Forum
Honored Contributor II
486 Views

try deleting the /db and /incremental_db directories and try again. 

If that doesnt work, try a newer version (8.1 is several years old)
0 Kudos
Altera_Forum
Honored Contributor II
486 Views

try deleting the /db and /incremental_db directories and try again. 

If that doesnt work, try a newer version (8.1 is several years old)
0 Kudos
Altera_Forum
Honored Contributor II
486 Views

Hello, 

 

Thanks for the reply. 

 

Had re-done after deleting the same, but still getting the same results. 

 

8.1 is the only license available with me, so got no other choice. 

 

Looking at the error, I am not even able to decide whether it is problem of my design, or something my version not supporting. 

 

Is there any other way, or you can comment something on.my doubt as defined in previous para. 

 

Thanks and regards 

Saransh
0 Kudos
Altera_Forum
Honored Contributor II
486 Views

what licence do you have? a full version covers all versions of quartus.

0 Kudos
Altera_Forum
Honored Contributor II
486 Views

I believe this was a very rare problem that only surfaced on the occasional compile. Try changing your seed (check the help files to figure out how to do this) to another value to work around this. It also appears to have been fixed in version 9.0. 

 

Cheers, Adrian
0 Kudos
Reply