Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16609 Discussions

Can't complie a project with Signaltap II : Error (10500) & Error (12006)

Altera_Forum
Honored Contributor II
1,456 Views

Hi all, 

I'm trying to use signaltap2 but I can't compile my project. 

Here are the error codes : 

Error (10500): VHDL syntax error at sld_signaltap.vhd(35) near text "generic"; expecting "entity", or "architecture", or "use", or "library", or "package", or "configuration" 

Error (12006): Node instance "auto_signaltap_0" instantiates undefined entity "sld_signaltap" 

 

Sorry I'm very new to fpga design. 

Any tip is welcome ! :)
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
673 Views
0 Kudos
Reply