Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16603 Discussions

Cannot run SignalTap II Logic Analyzer. SignalTap II File is not compatible with the file programmed in your device

笔书香
Beginner
1,401 Views

Quartus Prime 15.1 Standard Edition Version Found: v15.1

0 Kudos
1 Reply
AnandRaj_S_Intel
Employee
276 Views

Hi,

 

which device is used for your design?

can you attache the error message & .qsf file?

 

Point to note

  1. Project Navigator should have .stp file.
  2. Also go to Assignments -> Settings -> SignalTap II Logic Analyzer->Enable SignalTap II Logic Analyzer ->browse the path of the stp.
  3. Power cycle your board.

 

Regards

Anand

 

 

0 Kudos
Reply