Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

[Clock Domain] Tracing a signal

amildm
Valued Contributor I
576 Views

Hi All,

 

Is there an easy way to trace/report, what clock drives a specific cell?

 

Please consider two cases:

1) the reported/traced cell is FlipFlop - this should be an easier way since the clock pin of the FlipFlop should be directly connected to the source/driving clock

2) the reported/traced cell is a leaf cell (e.g. OR or AND cell) - how can I report the clock domains of all the signals, which drive the reported/traced leaf cell?

Thank you!

 

0 Kudos
7 Replies
Farabi
Employee
565 Views

Hello,


You can use the netlist viewer to see the signals in your design.

link : https://www.intel.com/content/www/us/en/docs/programmable/683230/18-1/design-flow-with-the-netlist-viewers.html


regards,

Farabi


0 Kudos
sstrell
Honored Contributor III
559 Views

You can also use the Timing Analyzer to cross-probe any path to the Chip Planner to see the path as it's routed in the device.

amildm
Valued Contributor I
519 Views

Could you please explain more? Is there some video or tutorial, which explains how to check what clock domain the given signal belongs to?

Is there a TCL command or procedure, which could help in this case?

 

Thanks!

0 Kudos
amildm
Valued Contributor I
482 Views

still waiting to the response ...

0 Kudos
AqidAyman_Intel
Employee
416 Views

Hi,


Maybe you can start with watching this video tutorial on the Timing Analyzer GUI. Please finds the link: https://youtu.be/79mtPfAm1TA


Regards,

Aqid


0 Kudos
AqidAyman_Intel
Employee
364 Views

Hello,


Do you have any more concern regarding this?


Regards,

Aqid


0 Kudos
AqidAyman_Intel
Employee
347 Views

As we do not receive any response from you on the previous question/reply/answer that we have provided, please login to ‘https://supporttickets.intel.com’, view details of the desire request, and post a feed/response within the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you on your follow-up questions.


0 Kudos
Reply