Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16597 Discussions

Compilation freezes at 2% when compiling verilog code

ABoel
Novice
1,197 Views

Intel Quartus 18.1 Build 625 compilation freezes at 2% when code snippet below is present in the Verilog code.

 

bgr_data[23:16]<=data_ROM[count];

I have modified the code VGA_Pattern project provided with DE10-Lite to load hex RGB data from a file and during the display interval it shall access the data_ROM at a dynamic index that incrments on every horizontal synch interval.

The Array has been defined as per below.

reg [7:0] data_ROM [0:(3*640*480)-1]; initial begin $readmemh("vgah.mem", data_ROM); end

I tried several variants to access to access the data form the data_ROM but the compiler keeps looping at 2%.

 

0 Kudos
11 Replies
KhaiChein_Y_Intel
1,047 Views

Hi,

 

Can you provide the design.qar for investigation?

 

Thanks.

Best regards,

KhaiY

0 Kudos
ABoel
Novice
1,047 Views

Archive has been uploaded.

0 Kudos
KhaiChein_Y_Intel
1,047 Views

Hi,

 

I see error below:

Error (10054): Verilog HDL File I/O error at vga_controller.v(51): can't open Verilog Design File "vgah.mem"

 

The vgah.mem file is missing. Could you provide this file?

 

Thanks.

Best regards,

KhaiY

 

0 Kudos
ABoel
Novice
1,047 Views

File attached. It's a 640x480*R*G*B - 8-bit per color channel file.

0 Kudos
KhaiChein_Y_Intel
1,047 Views

Hi,

 

Upon checking, this is caused by the .mem file where the Intel Quartus Prime software cannot read the information in this file. Could you recreate the memory initialization (.mif) file for the ROM? Please let me know if the problem persists.

 

Thanks.

Best regards,

KhaiY

0 Kudos
KhaiChein_Y_Intel
1,047 Views

Hi,

 

Do you have any updates?

 

Thanks.

Best regards,

KhaiY

0 Kudos
ABoel
Novice
1,047 Views

Hello Khai,

I will need to convert the Intel data format into a .mif fornat? Ler me update the script for the conversion and i will get back to you..

0 Kudos
KhaiChein_Y_Intel
1,047 Views

Hi,

 

Sure. Please keep me updated.

 

Thanks.

Best regards,

KhaiY

0 Kudos
ABoel
Novice
1,047 Views

KhaiY,

I think the size of the memory may not be appropriate for the MAX 10 FPGA. I will change the project to use the SDRAM then I can initialize it with the RGB. That should hopefully work.

 

0 Kudos
KhaiChein_Y_Intel
1,047 Views

Hi,

 

Sure. Please let me know if you have any question.

 

Thanks.

Best regards,

KhaiY

0 Kudos
KhaiChein_Y_Intel
1,047 Views

Hi,

 

Do you have any updates?

 

Thanks.

Best regards,

KhaiY

0 Kudos
Reply