Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16593 Discussions

Cyclone IV EP4CE10F17C8N Chip: Light LED

Altera_Forum
Honored Contributor II
1,965 Views

Hello All,  

 

This is my first time using an FPGA, my independent research is currently using the book "RTL Hardware Design Using VHDL" by Pong Chu.  

 

I have purchased an Altera Cyclone IV with a EP4CE10F17C8N chip. I am programming using Quartis Prime Lite, in VHDL. I am programing the board with a USB Blaster, all drivers installed.  

 

My aim was to test a simple code by turning on an on-board LED. I do not see anything wrong with the VHDL Code and I have no compilation error, yet I do not see any LED turned on (aside from the power LED). This leads me to belive it may be the pin assignment.  

 

I am using the following data sheet: 

https://www.waveshare.com/w/upload/c/c8/coreep4ce10-schematic.pdf 

(https://www.waveshare.com/w/upload/c/c8/coreep4ce10-schematic.pdf

And assigned LED to PIN_D12 

 

My Code is as follows: 

 

library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ENTITY light IS PORT(--X1 : IN STD_LOGIC; LED : OUT STD_LOGIC := '0' ); END light; ARCHITECTURE LogicFunction OF light IS BEGIN LED <= '1'; END LogicFunction;  

 

 

I am not sure why I can not light the LED, any help will be much appreciated. Thank you in advance!
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
634 Views

Hi,  

 

From schematic LED will turn on when you assign LED <= '0' and will turn of when LED <= '1'.
0 Kudos
Altera_Forum
Honored Contributor II
634 Views

Yes, the LED is active low.

0 Kudos
Altera_Forum
Honored Contributor II
634 Views

 

--- Quote Start ---  

Yes, the LED is active low. 

--- Quote End ---  

 

 

Got it, thank you all! So I was able to light the LED knowing it is active LOW. I.e. I changed LED <= '1'; to a 0. 

 

Thanks again!
0 Kudos
Reply