Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

Error while trying to run Questa simulator

temp1234
Beginner
6,038 Views

I think this is related to a licensing error, that I'm not sure how to remedy, but I just installed Quartus (Lite edition) and Questa.  And quartus seems to compile a simple module and testbench module fine, but when I try to do Tools->Run Simulation Tool->RTL Simulation, I get an error, pointing me to this file, but I don't know how to diagnose what is wrong or how to fix it.  I tried to upload the file, but .rpt file seems to not be allowed?

 

Thanks for any input!

-----

 

Info: Start Nativelink Simulation process
Info: NativeLink has detected Verilog design -- Verilog simulation models will be used

========= EDA Simulation Settings =====================

Sim Mode : RTL
Family : maxv
Quartus root : c:/intelfpga_lite/22.1std/quartus/bin64/
Quartus sim root : c:/intelfpga_lite/22.1std/quartus/eda/sim_lib
Simulation Tool : questa intel fpga
Simulation Language : verilog
Simulation Mode : GUI
Sim Output File :
Sim SDF file :
Sim dir : simulation\questa

=======================================================

Info: Starting NativeLink simulation with Questa Intel FPGA software
Sourced NativeLink script c:/intelfpga_lite/22.1std/quartus/common/tcl/internal/nativelink/modelsim.tcl
missing "
Error: NativeLink simulation flow was NOT successful

 

================The following additional information is provided to help identify the cause of error while running nativelink scripts=================
Nativelink TCL script failed with errorCode: NONE
Nativelink TCL script failed with errorInfo: missing "
while executing
"set questa_installation ""
invoked from within
"if {[file isdirectory $questa_fse_directory]} {
set questa_installation "$questa_fse_directory
set found_a_questa_installation 1
..."
(procedure "find_a_questa_oem_installation" line 21)
invoked from within
"find_a_questa_oem_installation questa_installation"
invoked from within
"if [ catch { set install_path_from_registry [registry get "HKEY_LOCAL_MACHINE\\SOFTWARE\\Wow6432Node\\Intel Corporation\\Quartus Prime\\$quartus_versi..."
invoked from within
"if [ catch { set install_path_from_registry [registry get "HKEY_LOCAL_MACHINE\\SOFTWARE\\Intel Corporation\\Quartus Prime\\$quartus_version" "Questa I..."
(procedure "resolve_tool_path" line 102)
invoked from within
"resolve_tool_path "sim" "vsim" $args_hash(qsf_sim_tool)"
(procedure "launch_sim" line 29)
invoked from within
"launch_sim launch_args_hash"
("eval" body line 1)
invoked from within
"eval launch_sim launch_args_hash"
invoked from within
"if [ info exists ::errorCode ] {
set savedCode $::errorCode
set savedInfo $::errorInfo
error $result $..."
invoked from within
"if [catch {eval launch_sim launch_args_hash} result ] {
set status 1
if [ info exists ::errorCode ] {
set save..."
(procedure "run_sim" line 74)
invoked from within
"run_sim run_sim_args_hash"
invoked from within
"if [ info exists ::errorCode ] {
set savedCode $::errorCode
set savedInfo $::errorInfo
error "$result" $savedInfo ..."
(procedure "run_eda_simulation_tool" line 334)
invoked from within
"run_eda_simulation_tool eda_opts_hash"

0 Kudos
17 Replies
RichardTanSY_Intel
6,016 Views

Have you set the EDA Tool location in the Quartus? It seems to be the root cause.

You may checkout this user guide on Specify EDA Tool Settings.

https://www.intel.com/content/www/us/en/docs/programmable/703090/21-1/specify-eda-tool-settings.html


You may use the design example and go through the simulation flow provided in the user guide.


Best Regards,

Richard Tan


p/s: If any answer from the community or Intel Support are helpful, please feel free to give best answer or rate 4/5 survey.


0 Kudos
temp1234
Beginner
6,008 Views

From that user guide, I believe I have everything set (see images for screenshots of my settings), but I'm getting the same error message.  Thank you for your help already, and I hope you or someone else can discern whatever it is I'm doing wrong:

 

Info: Start Nativelink Simulation process
Info: NativeLink has detected Verilog design -- Verilog simulation models will be used

========= EDA Simulation Settings =====================

Sim Mode : RTL
Family : maxv
Quartus root : c:/intelfpga_lite/22.1std/quartus/bin64/
Quartus sim root : c:/intelfpga_lite/22.1std/quartus/eda/sim_lib
Simulation Tool : questa intel fpga
Simulation Language : verilog
Simulation Mode : GUI
Sim Output File :
Sim SDF file :
Sim dir : simulation\questa

=======================================================

Info: Starting NativeLink simulation with Questa Intel FPGA software
Sourced NativeLink script c:/intelfpga_lite/22.1std/quartus/common/tcl/internal/nativelink/modelsim.tcl
missing "
Error: NativeLink simulation flow was NOT successful

 

================The following additional information is provided to help identify the cause of error while running nativelink scripts=================
Nativelink TCL script failed with errorCode: NONE
Nativelink TCL script failed with errorInfo: missing "
while executing
"set questa_installation ""
invoked from within
"if {[file isdirectory $questa_fse_directory]} {
set questa_installation "$questa_fse_directory
set found_a_questa_installation 1
..."
(procedure "find_a_questa_oem_installation" line 21)
invoked from within
"find_a_questa_oem_installation questa_installation"
invoked from within
"if [ catch { set install_path_from_registry [registry get "HKEY_LOCAL_MACHINE\\SOFTWARE\\Wow6432Node\\Intel Corporation\\Quartus Prime\\$quartus_versi..."
invoked from within
"if [ catch { set install_path_from_registry [registry get "HKEY_LOCAL_MACHINE\\SOFTWARE\\Intel Corporation\\Quartus Prime\\$quartus_version" "Questa I..."
(procedure "resolve_tool_path" line 102)
invoked from within
"resolve_tool_path "sim" "vsim" $args_hash(qsf_sim_tool)"
(procedure "launch_sim" line 29)
invoked from within
"launch_sim launch_args_hash"
("eval" body line 1)
invoked from within
"eval launch_sim launch_args_hash"
invoked from within
"if [ info exists ::errorCode ] {
set savedCode $::errorCode
set savedInfo $::errorInfo
error $result $..."
invoked from within
"if [catch {eval launch_sim launch_args_hash} result ] {
set status 1
if [ info exists ::errorCode ] {
set save..."
(procedure "run_sim" line 74)
invoked from within
"run_sim run_sim_args_hash"
invoked from within
"if [ info exists ::errorCode ] {
set savedCode $::errorCode
set savedInfo $::errorInfo
error "$result" $savedInfo ..."
(procedure "run_eda_simulation_tool" line 334)
invoked from within
"run_eda_simulation_tool eda_opts_hash"

0 Kudos
RichardTanSY_Intel
6,004 Views

Ahh! It mentioned that:

c:/intelfpga_lite/22.1std/quartus/common/tcl/internal/nativelink/modelsim.tcl missing "


Could you check is the modelsim.tcl file in that respective folder?


A successful simulation flow should generate log messages like this:

Info: Starting NativeLink simulation with Questa Intel FPGA software

Sourced NativeLink script c:/intelfpga/21.1/quartus/common/tcl/internal/nativelink/modelsim.tcl

Info: Spawning Questa Intel FPGA Simulation software 

Info: NativeLink simulation flow was successful


Regards,

Richard Tan



0 Kudos
temp1234
Beginner
6,001 Views

Hi Richard,

Thank you again for your speedy reply.  The file certainly seems like its in the correct place.

James

0 Kudos
RichardTanSY_Intel
5,987 Views

I am quite stump. I try to install quartus lite 22.1 and questa starter edition and able to invoke the simulator.

Could you try to run the Quartus as administrator?

Else, my last solution is to try reinstall Quartus and questa altogether.


Regards,

Richard Tan


0 Kudos
RichardTanSY_Intel
5,934 Views

Hi,


Do you able to resolve the issue?


Regards,

Richard Tan


0 Kudos
temp1234
Beginner
5,931 Views

Hi Richard,

 

Thank you for checking in, but I reinstalled Quartus and questa and the error was the same.

 

James Sherman

0 Kudos
MJack3
Novice
5,911 Views

Hello,

 

I too had the same issue on a fresh install of Quartus Prime 22.1std.0. I think I figured out why it is happening. In one of the tcl files, there is an erroneous double quote which, when removed, allows me to successfully launch Questa from Quartus.

 

For me, I have Quartus installed in the default location, so when I navigate to "C:\intelFPGA_lite\22.1std\quartus\common\tcl\internal\nativelink" there is a file called qnativelinkflow.tcl .Open that file and go to line 122 which should say 'set questa_installation "$questa_fse_drectory'. Remove the double double quote and try launching the simulation from Quartus again. That worked for me.

 

- Matt

 

RichardTanSY_Intel
5,863 Views

That's interesting. @temp1234 Could you check if this help to resolve your issue? 

 

Regards,

Richard Tan

0 Kudos
MaddogBattie
Novice
4,994 Views

Thanks - this fixed it for me.

0 Kudos
Thanatus
Beginner
2,235 Views

Thanks @MJack3 . It works for me.!

But, I have other issue and maybe you can help me. 

I'm encountering an issue when attempting to run an RTL simulation using the path "Tool - Run simulation tool - RTL simulation." I received the following error message: "Unable to checkout a license. Please ensure that your license file environment variable (e.g., LM_LICENSE_FILE) is correctly set, and then run 'Imutil Imdiag' to diagnose the problem." How can I resolve this issue?

 

Thanks!

0 Kudos
RichardTanSY_Intel
5,805 Views

Hi,

Do you able to resolve the issue based on the solution provided by the community?


Regards,

Richard Tan


0 Kudos
NickWilliams
Novice
5,776 Views

I also had this problem; please escalate this as a fault with the Quartus engineering team. It's very clearly a bug in the TCL code that would affect any attempt to launch Questa FSE from the menu in 22.1/Lite version of the product.

nhw@MBP16:/mnt/c/intelFPGA_lite/22.1std/quartus/common/tcl/internal/nativelink$ diff -c qnativelinkflow.tcl.old qnativelinkflow.tcl
*** qnativelinkflow.tcl.old     2022-12-07 15:38:42.897669900 -0500
--- qnativelinkflow.tcl 2022-12-07 15:39:35.472632200 -0500
***************
*** 119,125 ****
     } else {
        set questa_fse_directory "$quartus_path/../questa_fse"
        if {[file isdirectory $questa_fse_directory]} {
!          set questa_installation "$questa_fse_directory
           set found_a_questa_installation 1
        }
     }
--- 119,125 ----
     } else {
        set questa_fse_directory "$quartus_path/../questa_fse"
        if {[file isdirectory $questa_fse_directory]} {
!          set questa_installation "$questa_fse_directory"
           set found_a_questa_installation 1
        }
     }
0 Kudos
RichardTanSY_Intel
5,697 Views

Thank you all for the feedback provided on this issue.


The engineering team has aware of this issue and they will plan to fix this. (usually will takes some time depending on the issue complexity)


Since this issue will be planned to be fixed and a workaround is available, do you need further help in this case?


Regards,

Richard Tan


0 Kudos
RichardTanSY_Intel
5,668 Views

Since a workaround is available and engineering will plan to fix it, I will now transition this thread to community support. If you have a new question, Please login to ‘https://supporttickets.intel.com’, view details of the desire request, and post a feed/response within the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you on your follow-up questions.


Thank you.


Best Regards,

Richard Tan


p/s: If any answer from the community or Intel Support are helpful, please feel free to give best answer or rate 4/5 survey.


0 Kudos
RichardTanSY_Intel
4,363 Views

Do you guys see the same issue with Quartus Standard 22.1 version as well? 

 

Best Regards,

Richard Tan

 

0 Kudos
Samadoo
Beginner
2,005 Views

yes always, i tried all the proposed solution but none works for me... i need help

 

0 Kudos
Reply