Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

How to speedup the compilation of Quartus II

Altera_Forum
Honored Contributor II
3,143 Views

Hi, I have met a problem when I try to compile a big project. It cost more than 36 hours using the Quartus II 64-bit software, but it only complete 11% of the total compilation process. What can I do to speed up the compilation process? Thank you for the help. I am so worried about the problem.

0 Kudos
5 Replies
Altera_Forum
Honored Contributor II
1,663 Views

There are many things that can cause this: 

1. Have you got a large ram thats not inferring rams and being built with logic? this will cause a HUGE slowdown. 

2. Have you got enough ram? You dont specify what part it is, but the larger stratix and arria devices recommend a minumum of 48GB of ram! If it starts using the swap space/virtual ram, it can go very slow. 

3. Is your PC got a big enough processor? 

 

It usually 1 and 2 that are the biggest factors, especially 1. Given your compile Time, I suspect some dodgy code. Even full large devices should complete in <12 hours..
0 Kudos
Altera_Forum
Honored Contributor II
1,663 Views

You should gives us an idea of the kind of design and it's complexity. Is it something that might need large compilation times or is it more likely due to some fundamental design problem, essentially a non-synthesizable design?

0 Kudos
Altera_Forum
Honored Contributor II
1,663 Views

 

--- Quote Start ---  

There are many things that can cause this: 

1. Have you got a large ram thats not inferring rams and being built with logic? this will cause a HUGE slowdown. 

2. Have you got enough ram? You dont specify what part it is, but the larger stratix and arria devices recommend a minumum of 48GB of ram! If it starts using the swap space/virtual ram, it can go very slow. 

3. Is your PC got a big enough processor? 

 

It usually 1 and 2 that are the biggest factors, especially 1. Given your compile Time, I suspect some dodgy code. Even full large devices should complete in <12 hours.. 

--- Quote End ---  

 

 

Thanks for your answers and I still need your help if you have time. 

I don't know the first point you said referring to the PC I use or the design. If there's something wrong with the PC ram, maybe I can use a larger one, but if there's something wrong with my design, how can I make some changes on it to speed it up?  

 

Yours, 

sincerely
0 Kudos
Altera_Forum
Honored Contributor II
1,663 Views

Give us some details, like source code, and maybe we can help.

0 Kudos
Altera_Forum
Honored Contributor II
1,663 Views

Target device family and version of Quartus might help, too. 

 

And the question was referring to the amount of RAM you have in the computer you are using to run Quartus. As mentioned, compiling for newer devices requires much beefier system requirements.
0 Kudos
Reply