Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16606 Discussions

Initialize memory contents for synthesis

Altera_Forum
Honored Contributor II
1,864 Views

Hi, 

 

When we want to initialise memory contents for synthesis do we have to insert the hex files during memory IP generation? 

I assume that we just have to include them to the project files in Quartus and then the Fitter should initialise the contents. 

Is this assumption correct? 

 

 

Thanks
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
399 Views

Yes, that's correct. When you create your memory IP you will be asked if you wish to initialise the memory contents. Point at the relevant hex (or mif) file. 

 

 

--- Quote Start ---  

I assume that we just have to include them to the project files... 

--- Quote End ---  

You can't "just" do that. For each memory you generate (that you want initialising) you'll need to point at the relevant hex file. 

 

Cheers, 

Alex
0 Kudos
Altera_Forum
Honored Contributor II
399 Views

Ok we have to point to the hex file during IP generation. After that together with the hdl files there are some hex files. How are they used for synthesis? Apart from being added to the project is there something else that has to be done?

0 Kudos
Altera_Forum
Honored Contributor II
399 Views

I take it something isn't working properly - right? 

 

Having configured the IP the hex file, and its location, will be referenced in the files generated - typically in a number of places. Providing the file remains where you originally specified (with respect to the project folder), all should be well. 

 

 

--- Quote Start ---  

How are they used for synthesis? 

--- Quote End ---  

Quartus does what it needs to to ensure that each memory is provisioned with the data from the hex file. 

 

There shouldn't be anything else that you need to do. 

 

Cheers, 

Alex
0 Kudos
Reply