Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16596 Discussions

Is it possible to simulate the FFT IP core inside Quartus

JWall40
Beginner
1,284 Views

I'm trying to use the University Program VWF to simulate a simple implementation of the FFT IP core. However, when I attempt to run the simulation I get the following errors relating to the sub-modules being encrypted. quarterror.PNG

Is there a way around this? How do I go about simulating my designs which in include the FFT core?

 

Thanks for reading

Jack

 

0 Kudos
11 Replies
CheePin_C_Intel
Employee
1,169 Views

Hi,

 

As I understand it, you have some inquiries related to simulating the FFT IP. For your information, generally we would use the Modelsim Intel FPGA Edition to perform the functional simulation of the FFT. You try to perform the simulation through the following:

 

1. Open FFT IP

2. Go to Generate -> Generate Example Design

3. Open Modelsim and change directory to the fft_ii_0_example_design\simulation_scripts\mentor

4. source the msim_setup.tcl

5. type "ld" to run the compilation

6. Populate the waveforms and then type "run -all"

 

Please let me know if there is any concern. Thank you.

 

Best regards,

Chee Pin

 

0 Kudos
JWall40
Beginner
1,169 Views

Please could you elaborate on step 4. source the msim_setup.tcl

 

What do you mean by 'source' it and how can I do this?

 

Thank you very much!

0 Kudos
CheePin_C_Intel
Employee
1,169 Views

Hi,

 

For your information, I am referring to typing "source msim_setup.tcl" command into Modelsim. this will setup the simulation environment as in the TCL file. Only after this, you can run the "ld" command.

0 Kudos
JWall40
Beginner
1,169 Views

Thanks again for your help Chee, unfortunately I am getting the following errors when attempting to execute the modelsim commands...

 

# Reading C:/intelFPGA/18.1/modelsim_ase/tcl/vsim/pref.tcl

cd C:/Users/Jwale08109/Documents/Programmable_logic/QuartusWorkspace/FFT_test/fft_ii_0_example_design/simulation_scripts/mentor

source msim_setup.tcl

# [exec] file_copy

# error copying "./..//../../../../../../../JWALE0~1/AppData/Local/Temp/alt8373_4459163426537327533.dir/0002_fft_ii_0_gen/src/fft_ii_0_example_design_core_1n1024cos.hex": no such file or directory

source msim_setup.tcl

# [exec] file_copy

# error copying "./..//../../../../../../../JWALE0~1/AppData/Local/Temp/alt8373_4459163426537327533.dir/0002_fft_ii_0_gen/src/fft_ii_0_example_design_core_1n1024cos.hex": no such file or directory

ld

# [exec] dev_com

# [exec] com

# Model Technology ModelSim - Intel FPGA Edition vcom 10.5b Compiler 2016.10 Oct 5 2016

# Start time: 16:17:30 on Apr 21,2020

# vcom -reportprogress 300 ./..//../../../../../../../JWALE0~1/AppData/Local/Temp/alt8373_4459163426537327533.dir/0002_fft_ii_0_gen/src/auk_dspip_text_pkg.vhd -work work 

# ** Error: (vcom-7) Failed to open design unit file "./..//../../../../../../../JWALE0~1/AppData/Local/Temp/alt8373_4459163426537327533.dir/0002_fft_ii_0_gen/src/auk_dspip_text_pkg.vhd" in read mode.

# No such file or directory. (errno = ENOENT)

# End time: 16:17:31 on Apr 21,2020, Elapsed time: 0:00:01

# Errors: 1, Warnings: 0

# C:/intelFPGA/18.1/modelsim_ase/win32aloem/vcom failed.

 

 

0 Kudos
CheePin_C_Intel
Employee
1,169 Views

Hi,

 

For your information, I have emailed you a ZIP of the Q17.0 CV FFT IP generated design. You may try with the following to run the simulation:

 

1. Unzip the files

2. In Modelsim, change directory to the \simulation_scripts\mentor

3. Type "source msim_setup.tcl"

4. Type "ld"

5. Type "do wave.do"

6. Type "run -all"

7. When message pops up asking if you want to finish the simulation, click "No"

 

You should be able to see the example simulation waveform. In case you still encounter any file cannot be found error, you might want to reduce the level of hierarchy is putting the ZIP at C: driver. 

 

Please let me know if there is any concern. Thank you.

0 Kudos
JWall40
Beginner
1,169 Views

mine.PNGYour example works fine, thanks Chee. Unfortunately, I am still unable to generate examples of my own IP instances. It looks like when I generate the example, I am not creating all the necessary files in the mentor folder?

 

The file you sent me looks like this

theirs.PNG

Where as my folder looks like this

mine.PNG

Hence my error:

# error copying "./..//../../../../../../../JWALE0~1/AppData/Local/Temp/alt8375_8958432751580048751.dir/0002_fft_ii_0_gen/src/fft_ii_0_example_design_core_1n1024cos.hex": no such file or directory

 

Maybe I am not generating the example properly to begin with?

 

 

0 Kudos
CheePin_C_Intel
Employee
1,169 Views

Hi,

 

Sorry for any confusion. the ZiP that I sent to your consist of the complete folder after running simulation. The additional files that you are seeing are generated during the simulation.

 

By default, there should be no issue running the example design. However, after you generate the example design, you might want to copy the folder to C: drive, to reduce the file path length. I remember there is some limitation in max characters in the path length. Probably you could try to create your project folder directly at C:/test for example.

 

If you still encounter issue related to copying files, you can actually find the files in "src" and "test_data" folder one level up. You can tried copying these files into the Mentor folder and run again.

 

please let me know if there is any concern. Thank you.

0 Kudos
JWall40
Beginner
1,169 Views

I've tried moving everything into the mentor folder as you suggested and moving my project file onto the C drive, but still no joy.

 

I've attached a copy of my example folder with everything back in it original place, interested to see what happens if you try and run it

 

Many thanks

 

0 Kudos
CheePin_C_Intel
Employee
1,169 Views

Hi,

 

As I tested running your file in Modelsim, I encounter some issue with Modelsim unable to locate some files.

 

As I look into your msim_setup.tcl, I notice that some of the file directory seems to have some issue. For example, in the following command line, 

 

"$QSYS_SIMDIR/../../../../../../../JWALE0~1/AppData/Local/Temp/alt8376_6957420626840611221.dir/0003_fft_ii_0_gen/src/fft_ii_0_example_design_core_1n1024cos.hex ./"

 

The .hex file seems to be located at "$QSYS_SIMDIR/../src" and seems like there are additional path ie "../../../...0_gen" added which lead to Modelsim unable to locate the file.

 

The additional path also occurs for the command lines to compile design files.

 

Please help to look into why there are additional path added to the command lines?

 

Please let me know if there is any concern. Thank you.

 

Best regards,

Chee Pin

0 Kudos
JWall40
Beginner
1,169 Views

I can't see where these paths are coming from. After much frustration I've decided to give up trying to use altera-model sim. Maybe I'll try in the future with an older version of Quartus. Thanks for all your help, it is much appreciated.

0 Kudos
CheePin_C_Intel
Employee
1,169 Views

Hi,

 

Thanks for your update. Sorry for all the inconvenience.

0 Kudos
Reply