Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

Modelsim SE won't run

Altera_Forum
Honored Contributor II
1,368 Views

Hi There, 

 

I've just installed Modelsim SE. When I try and run it, the splash screen opens for a few seconds before disappearing, at which point the modelsim.exe process disappears from task manager. 

 

I'm running on Windows XP. My first thought would be a licensing issue, but as it's the free starter edition I'm not sure how that could be an issue. 

 

Cheers, 

 

Jack
0 Kudos
8 Replies
Altera_Forum
Honored Contributor II
652 Views

Have you made a "clean" install ? 

Maybe old configuration files, and old license (Flexim...) make confusions in launching Modelsim. 

 

You could see log events in windows.
0 Kudos
Altera_Forum
Honored Contributor II
652 Views

It's pretty much the first piece of software i've installed on a fresh Windows XP virtual machine. 

 

Sorry for my ignorance, but what do you mean by "log events in windows" ?
0 Kudos
Altera_Forum
Honored Contributor II
652 Views

From the Altera Licensing FAQ's: 

 

Q. Is ModelSim-Altera Starter Edition free? 

A. Yes, ModelSim-Altera Starter Edition is free. You do not need a license to run this software.
0 Kudos
Altera_Forum
Honored Contributor II
652 Views

Are you sure you are using the Altera Disk supplied Altera Modelsim - Altera Starter Edition? 

 

You post says you are have loaded Modelsim-SE. 

ModelTech also offers atool called Modelsim-Standard Edition (SE), and this is a much more expensive, (needs to be license) version of their tools. 

 

Please check this and be more clear as to what you really have installed.
0 Kudos
Altera_Forum
Honored Contributor II
652 Views

Sorry for the ambiguity - I'm using Altera Modelsim Starter Edition. It's installed using the Altera download manager.

0 Kudos
Altera_Forum
Honored Contributor II
652 Views

Fixed. Replaced XP with Windows 7 and it runs. But now it seems to just disregard the lpm and altsyncram libraries which are in the modelsim library list...

0 Kudos
Altera_Forum
Honored Contributor II
652 Views

Do you use modelsim Altera Starter Edition which is associated with your quartus ?

0 Kudos
Altera_Forum
Honored Contributor II
652 Views

Indeed I did. Anyone else that has this problem, i'd recommend running it on Windows 7. Fixed it for me. I also wasn't adding libraries into the search path (Tools ---> Start Simulation ---> Libraries tab)

0 Kudos
Reply