Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

NIOS and WIZNET5300 interfacing Using Tri-state conduit bridge/Generic Tri-state controller

SS5
Novice
1,424 Views

Hello, 

 I want to perform a connection between Nios and Ethernet Module Wiznet W5300.

As of now, Using On-chip FIFO Memory core, i am able to read the data in NIOS console.

 

Now, i need to read the same data (in NIOS console) in PC using Wiznet W5300. Any help from anyone who has already performed a connection between Nios and W5300 would be welcomed.

 

Please clarify me below doubts:

  1. I have included Tri-state conduit bridge/Generic Tri-state controller in SOPC whether i am right ?
  2. Any Example WIZNET code (c-code) for TCP or UDP/ or any simple example design
  3. Can i use PUTTY or Hercules to print the data?

 

Please help me 🙂 Thanks in Advance.

 

 

0 Kudos
4 Replies
JOHI
New Contributor II
709 Views

Hello,

I do not understand your statement very good: If you are able to read "the data" in nios, I assume you have a working connection between your fpga board & your wiznet W5300 chip. Then the next step you can do is try to send UDP broadcast messages with your W5300 and use Wireshark (a public domain network sniffer that is one of the best there are) installed on your pc to see if you can send a simple message with the W5300.

If you want to simplify your job: use a second network card in a separate network with only the W5300 and your pc.

Best Regards,

Johi.

 

0 Kudos
SS5
Novice
709 Views

Hello JOHI,

First of all thanks for your response.

 

First time i am working with NETWORK protocol. So i don`t have much idea about the working connection between the board & wiznet W5300 chip.

 

As per your suggestion, i will install the Wireshark.

 

Once again i am rising my question,

 

  1. What about the Qsys Design ?
  2. Can i use Tri-state conduit bridge/Generic Tri-state controller Components in SOPC for W5300 chip communication.

 

0 Kudos
SS5
Novice
709 Views

I have attached Qsys design and Quartus design in this post.

Wiz_block.JPGWiz_Qsys.JPG

 

Please anyone suggest me whether my design flow is right ?

0 Kudos
corestar
New Contributor I
709 Views

....

0 Kudos
Reply