Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

Pin mapping limit?

Altera_Forum
Honored Contributor II
962 Views

Hello  

I try to make a design, which has a std_logic_vector(254 downto 0) as input. 

A TCL script is used to assign the pins. This works well for the 100 first pins. Then they seam to be not recognized any more, even though they are shown in the Assignment Editor. 

 

Is there a limit for vectors? 

What could be the problem? 

 

https://alteraforum.com/forum/attachment.php?attachmentid=14003&stc=1  

 

library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.numeric_std.all; entity PCB_Check is Port ( clk_CI : in std_logic; Daugtherboard_Connector : in std_logic_vector(253 downto 0); led : out std_logic ); end entity PCB_Check; architecture RTL of PCB_Check is signal check_pins: std_logic_vector(253 downto 0) := (others => '0'); begin process(clk_CI) begin if rising_edge(clk_CI) then check_pins <= check_pins or Daugtherboard_Connector; if Daugtherboard_Connector(0) = '1' then check_pins <= (others =>'0'); check_pins(0) <= '1'; end if; end if; end process; process(check_pins) variable const_1 : std_logic_vector(Daugtherboard_Connector'range); begin const_1 := (others => '1'); if check_pins = const_1 then led <= '1'; else led <= '0'; end if; end process; end RTL;  

....... set_location_assignment PIN_AJ20 -to Daugtherboard_Connector set_location_assignment PIN_AK22 -to Daugtherboard_Connector set_location_assignment PIN_AK21 -to Daugtherboard_Connector set_location_assignment PIN_AJ28 -to Daugtherboard_Connector set_location_assignment PIN_AH14 -to Daugtherboard_Connector set_location_assignment PIN_AF14 -to Daugtherboard_Connector set_location_assignment PIN_AK20 -to Daugtherboard_Connector set_location_assignment PIN_AH20 -to Daugtherboard_Connector set_location_assignment PIN_AK16 -to Daugtherboard_Connector set_location_assignment PIN_AK15 -to Daugtherboard_Connector set_location_assignment PIN_AJ15 -to Daugtherboard_Connector set_location_assignment PIN_AH15 -to Daugtherboard_Connector set_location_assignment PIN_AG13 -to Daughterboard_Connector set_location_assignment PIN_AE13 -to Daughterboard_Connector set_location_assignment PIN_AJ17 -to Daughterboard_Connector set_location_assignment PIN_AK17 -to Daughterboard_Connector set_location_assignment PIN_AH11 -to Daughterboard_Connector set_location_assignment PIN_AE12 -to Daughterboard_Connector set_location_assignment PIN_AG12 -to Daughterboard_Connector set_location_assignment PIN_AF13 -to Daughterboard_Connector .........
0 Kudos
0 Replies
Reply