Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16606 Discussions

Problem with Signal Tap Logic Analyzer

nt261
Beginner
505 Views

Hi I'm trying to add new/more signals to signal tap analyzer, they are showing up in Node finder but couldn't insert them to stp file. Nothing happens when I click on insert. I'm using Quartus 21.1 pro edition.

0 Kudos
2 Replies
sstrell
Honored Contributor III
495 Views

So you've opened the Node Finder, found the signals you want to add, used the arrows to move them over to the list on the right, clicked insert, and they simply don't appear in the Node list?

Are you using the Signal Tap filters in the Node Finder?  If you don't use those filters, there's no guarantee that the signals you're adding are "tappable" by the logic analyzer.

0 Kudos
Nurina
Employee
487 Views

Hi,

Did the above comment help?


0 Kudos
Reply