Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16597 Discussions

QSYS component does ot compile in Quartus - missing library

IPaul
Beginner
760 Views

I used a bunch of VHDL files which implement EnDAT interface to create a component in QSYS.

The files use design library called "endat22".

All works fine and Platform Designer generate QSYS file.

However, when I try to compile the design in Quartus, I get the following:

Error (10481): VHDL Use Clause error at control.vhd(52): design library "endat22" does not contain primary unit "endat5_pkg". Verify that the primary unit exists in the library and has been successfully compiled.

 

How to fix that ? How can I tell QSYS to use other library than "work" ?

(Note that in the past, all source VDHL files were just added to the Quartus project files and the compilation worked ok).

0 Kudos
6 Replies
sstrell
Honored Contributor III
749 Views

So you successfully created a component in the Platform Designer Component Editor, which created a _hw.tcl file?  And then you used that component in a system design, generated the system, compiled your Quartus project and got that error?

0 Kudos
IPaul
Beginner
737 Views

Yes, exactly.

Platform Designer generated the system. Quartus stopped with that error.

0 Kudos
ShengN_Intel
Employee
730 Views

Hi,


Go to ../quartus/libraries/vhdl and put the vhdl libraries there. Then do,

library <library_name>;

use <library_name>.<package_name>.all;


Best Regards,

Sheng

p/s: If any answer from community or Intel support are helpful, please feel free to mark as solution and give Kudos.


0 Kudos
ShengN_Intel
Employee
721 Views

Any further update or concern? Have your problem being resolved?


0 Kudos
IPaul
Beginner
708 Views

Hello,

I changed all "endat22" references and library to "work" and all works as I expected.

I cannot put libraries to ../quartus/libraries/vhdl because we keep our design in our project folder(s) and we use various versions of Quartus.

0 Kudos
ShengN_Intel
Employee
701 Views

Since you can't put libraries to ../quartus/libraries/vhdl then "work" will be the choice.

Btw glad to hear that your issue has been addressed. I'll now transition this thread to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts.


0 Kudos
Reply