Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16597 Discussions

QUARTUS ERROR: Node "missing source HELPPP!!

Altera_Forum
Honored Contributor II
9,332 Views

Hi Ive got a error in my project which is not letting me compile my design...it is giving me 2 errors of node: missing source 

Error: Node "inst5" is missing source 

Error: Node "inst7" is missing source  

 

I dont know how to upload my schematic block file...help me... 

 

Any help will be much appreciated,,,,P.S. i cant update the software version as I am working of a uni PC
0 Kudos
4 Replies
Altera_Forum
Honored Contributor II
6,541 Views

 

--- Quote Start ---  

Hi Ive got a error in my project which is not letting me compile my design...it is giving me 2 errors of node: missing source 

Error: Node "inst5" is missing source 

Error: Node "inst7" is missing source  

 

I dont know how to upload my schematic block file...help me... 

 

Any help will be much appreciated,,,,P.S. i cant update the software version as I am working of a uni PC 

--- Quote End ---  

 

 

Hi, 

 

it means that "inst5" and "inst7" have undriven inputs. Check carefully your schematic ! 

 

Kind regards 

 

GPK
0 Kudos
Altera_Forum
Honored Contributor II
6,541 Views

Hi I have check the schematic over and over for undriven inputs....but its fine.....plzz helpp...wat shall i do!

0 Kudos
Altera_Forum
Honored Contributor II
6,542 Views

 

--- Quote Start ---  

Hi I have check the schematic over and over for undriven inputs....but its fine.....plzz helpp...wat shall i do! 

--- Quote End ---  

 

 

Hi, 

 

can you please post your schematic ? If not, try followiing: 

 

save a copy of your schematic ( just in case ...) 

Select inst5 and move it. Are all ports connected to something ? 

 

Kind regards 

 

GPK
0 Kudos
Altera_Forum
Honored Contributor II
6,542 Views

thank you very much...it worked...fank u lots for ur help...much appreciated

0 Kudos
Reply