Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

Quartus II: Error(10777)

Altera_Forum
Honored Contributor II
2,421 Views

Hello, I'm getting an error: 

 

--- Quote Start ---  

Error (10777): VHDL error at part1.vhd(47): expected an architecture identifier in index 

--- Quote End ---  

 

and when I highlight this error and go to the quartus documentation, the only information that I get is: 

--- Quote Start ---  

CAUSE: Quartus II Integrated Synthesis generated the specified error message for the specified location in a Design File.  

ACTION: Fix the problem identified by the message text. A future version of the Quartus II software will provide more extensive Help for this error message.  

 

--- Quote End ---  

 

I've attached part1.vhd and the components related to it. 

If someone could please help me understand this problem, I'd really appreciate it. 

 

Thanks.
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
1,310 Views

Hi, The issue is that Quartus II is seeing your code as an array operation rather than as a component instance as there is no "port map" string.  

 

cnt16 : TFFcounter16bit(SW1, KEY0, SW0, Qout); 

 

Try altering your syntax to: 

 

cnt16 : TFFcounter16bit port map(SW1, KEY0, SW0, Qout); 

 

This will also need to be done for the instances of HEX: 

seg0 : HEX port map (Qout(3 downto 0), HEX0);
0 Kudos
Altera_Forum
Honored Contributor II
1,310 Views

Wow! Can't believe i didn't catch that! thanks for your help! :) I spent hours, maybe even a whole day trying to figure that one out.

0 Kudos
Altera_Forum
Honored Contributor II
1,310 Views

I had the same [childish] issue with error message: 

[Synth 8-1977] expected an architecture identifier in index 

Since there was no hit on search for this error code, I'm hereby attaching it. 

Port mapping helps :p
0 Kudos
Reply