Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

Quartus/ModelSIM FlexLM Licensing Error -5,147: No Such Feature

JORNLicences
Beginner
2,801 Views

We rencently converted our ModelSIM licences from node locked to floating.
The newly generated licence file now contains our Quartus and ModelSIM licences.

The Quartus licences appear to function correctly.
However ModelSIM is unable to check out a licence.

When launching VSIM (ModelSIM) the following error is displayed:

‘Unable to checkout a license. Vsim is closing.
** Error: Invalid license environment. Application closing.’

 

Using LMTOOLS diagnostics we find numerous errors similar to the following, for both daemons (mgcld/alterad).
"mgcvipae" v2022.04, vendor: mgcld, expiry: 20-apr-2022
  vendor_string: 7C3C370B
  License server: <hostname>
  floating license  starts: 21-apr-2021,   expires: 20-apr-2022

This license cannot be checked out because:
No such feature exists.
Feature:       mgcvipae
License path:  C:\Program Files (x86)\flexnet\quartus\licensing\License-combined.dat;
FlexNet Licensing error:-5,147
 
Any help will be appreciated as we are currently unable to use ModelSIM.
 
Thanks
0 Kudos
10 Replies
Nurina
Employee
2,787 Views

Hi,


Can you provide the following:

  1. Debug.log file
  2. Screen shot of error message
  3. Your machine OS
  4. Your Quartus version
  5. License.dat file


I'll send you a private message too so you can send the files there for confidentiality purposes.


Thanks,

Best regards,

Nurina


0 Kudos
JORNLicences
Beginner
2,766 Views

Nurina,

 

PM has been sent.

Thanks

0 Kudos
Nurina
Employee
2,747 Views

Hi Paul,

 

Thanks for providing the items I requested. Can you perform the following checks:  

  1. Make sure the NIC ID is from a physical NIC card, not virtual ID.
  2. Make sure the daemon executable paths are correctly set. From the licensing manual it should be under: "<installation-directory>\bin64\alterad.exe" which is different from your license file. Look for the daemon files in your server and set it to that.
  3. Is your license file saved in this path: "<drive>:\flexlm" If not, please save it there as it is the preferred location.
  4. Did you follow all the steps to set up floating license? Available here at section 4.3.5: https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/manual/quartus_install.pdf#...
  5. Could you try restarting or performing a full reboot of your server?

Remember that each time you make changes in your license file you need to restart your server.

 

Regards,

Nurina

0 Kudos
JORNLicences
Beginner
2,745 Views

Nurina,

Thank you.

  1. The NIC ID is correct or none of the licences would be working
  2. The daemon paths are correct...
    1. This is evident in the logs as the diagnostics file reports the daemon is running but the licences/feature cannot be checked out
  3. We do not use the default path; however we tried for the sake of testing with no change in the results
    If this was an issue none of the licences would be working.
  4. The server has been restarted with no success.
    Being a production server with more than just Quartus/ModelSim licences outages need to be planned.

    We stop and start the service between any licence file changes. This is ample for the service to reread and reload the licence file.

As can be seen in the diagnostics file the daemons are running correctly and the issue relates to the use of specific licences.

Cheers,

Paul

0 Kudos
Nurina
Employee
2,733 Views

Hi Paul,

 

OK thanks for answering my questions. Have you tried to regenerate a new license file and use that one? I suggest you to do so.

In the meantime I am discussing your issue internally. This may take a while.

 

Regards,

Nurina

0 Kudos
Nurina
Employee
2,724 Views

Paul,


Can you run the following command:


./lmutil.exe lmstat -a -c Quartus_II_license_Braema_60dayEval.dat


and then share with me the result.


Thanks.


Regards,

Nurina


0 Kudos
JORNLicences
Beginner
2,707 Views

PM has been sent. thanks.

0 Kudos
Nurina
Employee
2,682 Views

Thanks, Paul. I've replied your PM. 

Can you please provide the files I've requested? 

 

Nurina

0 Kudos
Nurina
Employee
2,643 Views

Case has been resolved by customer. Issue was solved by enabling firewall to open the ports for the mgcld daemon.

 

With that, I now transition this thread to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you.

Regards,
Nurina

PS: If you find any comment from the community or Intel Support to be helpful, feel free to give Kudos.

0 Kudos
stuetz
Novice
1,202 Views

Hi,

I'm experiencing the same issue. I checked all the hints above, but cannot checkout the Questa license:

"intelqsim" v2024.01, vendor: mgcld, expiry: 31-oct-2023
vendor_string: 057D5A07
License server: xxx.xxx.xxx.xxx
floating license starts: 2-aug-2023, expires: 31-oct-2023

This license cannot be checked out because:
No such feature exists.
Feature: intelqsim
License path: 27000@XXXXXXXX;
FlexNet Licensing error:-5,147

Running

lmutil lmstat -c 27000@localhost -a

on the server gives:

mgcld: UP v11.16.4
Feature usage info:
Users of mgcvipae: (Total of 6 licenses issued; Total of 0 licenses in use)
Users of mgcvipaeaxi: (Total of 6 licenses issued; Total of 0 licenses in use)
Users of mgcvipaeaxi4: (Total of 6 licenses issued; Total of 0 licenses in use)
Users of intelqsim: (Total of 6 licenses issued; Total of 0 licenses in use)

Further I tested the TCP connection with

Test-NetConnection <YOUR_SERVER> -Port <your_port>

for all ports (lmgrd alterad mglcd) seem to allow a TCP connection from the client side.

Any further suggestions?

Thanks!

0 Kudos
Reply