Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16595 Discussions

Quartus ModelSim Memory allocation failure

RIvy
Beginner
3,834 Views

Windows 10 with 25GB available RAM and plenty of swap space.

My design is SIGNIFICANTLY smaller than the 10K executable code line limit for the Intel-FPGA ModelSim Starter edition unless some ALTCLKCTRL and ALTPLL IP modules involve 1000s of "executable lines".

Using Verilog.

 

My individual modules and even small subsets of modules work fine but when I go over some magic number the ModelSim simulator crashes after many 100s (718 to be exact) of Memory allocation failure messages.

 

The messages are of the form:

# ** Fatal: (vsim-4) ****** Memory allocation failure. *****

# Attempting to allocate XXXXXX bytes

# Please check your system for available memory and swap space.

 

where "XXXXXX" are values between 131072 and 1040. The number of bytes requested in the allocations requests don't really follow any easilt discernible pattern ... some are powers of 2 and others are not also.

 

In the end I get a different message:

# ** Fatal: (SIGSEGV) Bad handle or reference.

#  Time: 0 ps Iteration: 0 Process:

/<testbench_entity>/<DUT_Instance>/#ALWAYS#563 File:

<DUT_file_Including path>

 

There is no message saying I have exceeded the executable line limit.

There is no popup indicating an error.

I found the error messages in the transcript file.

 

Does anyone have any idea what I am doing wrong ... or how I can work around this issue?

 

Thanks.

 

0 Kudos
4 Replies
AnandRaj_S_Intel
Employee
3,354 Views

Hi @RIvy​ ,

 

  1. Have you compiled your design without any error in Quartus?
  2. Try with the latest version of modelsim and check.
  3. Also share information on tools version & edition, And if possible share the Design file and transcript.

 

Let me know if this has helped resolve the issue you are facing or if you need any further assistance.

 

Regards

Anand

0 Kudos
RIvy
Beginner
3,354 Views

Thank you for the response.

  1. Yes, the design compiles with no errors in Quartus Prime Standard v17.1 with ModelSim - INTEL FPGA STARTER EDITION 10.5b
  2. I am downloading the latest standard edition now but at 3MBPS it will be a while. Is this a known problem with the v17.1 I'm running?
  3. I am currently using Quartus Prime Standard v18.1 with ModelSim - INTEL FPGA STARTER EDITION 10.5b; Windows 10; 32GB DDR3 RAM; Quad Core processor; multiple 1TB SSDs. I have attached the transcript from the last attempt to simulate. The design I was trying to simulate is a minimal subset of modules for the overall design that could give a meaningful functional simulation. After I install the latest Quartus and migrate the design I will upload the full project, results, and transcript.
0 Kudos
AnandRaj_S_Intel
Employee
3,355 Views

Hi ​@RIvy​ ,

 

Thanks for the information,

Yes, check with latest Quartus and upload the full project, results, and transcript

 

Regards

Anand

0 Kudos
Abe
Valued Contributor II
3,354 Views

Looks like the issue is with the WLF simulation file being in use by another user/program. This is the warning from ModelSim before it crashes trying to allocate more memory.

 

# ** Warning: (vsim-WLF-5000) WLF file currently in use: vsim.wlf

#      File in use by: Richa Hostname: ECS-ZBOOK-17-G4 ProcessID: 50584

#      Attempting to use alternate WLF file "./wlftykv95n".

# ** Warning: (vsim-WLF-5001) Could not open WLF file: vsim.wlf

#      Using alternate file: ./wlftykv95n

 

I suggest you check if any other modelsim processes are in use via the Task Manager and kill it. Close all Quartus/ModelSim tools and kill all vsim/vlog processes that are running via Task Manager. Then re-open the project and try running the simulation.

Reply